blob: 51081272a60da0347c1cfa1d59893a9d885a78b5 [file] [log] [blame]
Stefan Tauner9e9f6842012-02-16 20:55:27 +00001.TH FLASHROM 8 "Feb 15, 2012"
Stefan Reinauer261144c2006-07-27 23:29:02 +00002.SH NAME
Uwe Hermann530cb2d2009-05-14 22:58:21 +00003flashrom \- detect, read, write, verify and erase flash chips
Stefan Reinauer261144c2006-07-27 23:29:02 +00004.SH SYNOPSIS
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +00005.B flashrom \fR[\fB\-n\fR] [\fB\-V\fR] [\fB\-f\fR] [\fB\-h\fR|\fB\-R\fR|\
6\fB\-L\fR|\fB\-z\fR|\fB\-E\fR|\fB\-r\fR <file>|\fB\-w\fR <file>|\
7\fB\-v\fR <file>]
Carl-Daniel Hailfinger2d927fb2012-01-04 00:48:27 +00008 [\fB\-c\fR <chipname>] \
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +00009[\fB\-l\fR <file>]
10 [\fB\-i\fR <image>] [\fB\-p\fR <programmername>[:<parameters>]]
Stefan Reinauer261144c2006-07-27 23:29:02 +000011.SH DESCRIPTION
12.B flashrom
Uwe Hermanne8ba5382009-05-22 11:37:27 +000013is a utility for detecting, reading, writing, verifying and erasing flash
Uwe Hermann530cb2d2009-05-14 22:58:21 +000014chips. It's often used to flash BIOS/EFI/coreboot/firmware images in-system
Uwe Hermann941a2732011-07-25 21:12:57 +000015using a supported mainboard. However, it also supports various external
16PCI/USB/parallel-port/serial-port based devices which can program flash chips,
17including some network cards (NICs), SATA/IDE controller cards, graphics cards,
18the Bus Pirate device, various FTDI FT2232/FT4232H based USB devices, and more.
Uwe Hermanne74b9f82009-04-10 14:41:29 +000019.PP
Uwe Hermann9ff514d2010-06-07 19:41:25 +000020It supports a wide range of DIP32, PLCC32, DIP8, SO8/SOIC8, TSOP32, TSOP40,
Uwe Hermann941a2732011-07-25 21:12:57 +000021TSOP48, and BGA chips, which use various protocols such as LPC, FWH,
22parallel flash, or SPI.
Stefan Reinauer261144c2006-07-27 23:29:02 +000023.SH OPTIONS
Uwe Hermann9ff514d2010-06-07 19:41:25 +000024.B IMPORTANT:
Carl-Daniel Hailfinger5de93412009-05-01 10:53:49 +000025Please note that the command line interface for flashrom will change before
26flashrom 1.0. Do not use flashrom in scripts or other automated tools without
Uwe Hermanne8ba5382009-05-22 11:37:27 +000027checking that your flashrom version won't interpret options in a different way.
Carl-Daniel Hailfinger5de93412009-05-01 10:53:49 +000028.PP
Uwe Hermann9ff514d2010-06-07 19:41:25 +000029You can specify one of
30.BR \-h ", " \-R ", " \-L ", " \-z ", " \-E ", " \-r ", " \-w ", " \-v
31or no operation.
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000032If no operation is specified, flashrom will only probe for flash chips. It is
Michael Karcher31fd8252010-03-12 06:41:39 +000033recommended that if you try flashrom the first time on a system, you run it
Uwe Hermann941a2732011-07-25 21:12:57 +000034in probe-only mode and check the output. Also you are advised to make a
Uwe Hermann9ff514d2010-06-07 19:41:25 +000035backup of your current ROM contents with
36.B \-r
37before you try to write a new image.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000038.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +000039.B "\-r, \-\-read <file>"
40Read flash ROM contents and save them into the given
41.BR <file> .
Uwe Hermann941a2732011-07-25 21:12:57 +000042If the file already exists, it will be overwritten.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000043.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +000044.B "\-w, \-\-write <file>"
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000045Write
46.B <file>
Uwe Hermann9ff514d2010-06-07 19:41:25 +000047into flash ROM. This will first automatically
48.B erase
49the chip, then write to it.
Stefan Taunerac54fbe2011-07-21 19:52:00 +000050.sp
51In the process the chip is also read several times. First an in-memory backup
52is made for disaster recovery and to be able to skip regions that are
53already equal to the image file. This copy is updated along with the write
54operation. In case of erase errors it is even re-read completely. After
55writing has finished and if verification is enabled, the whole flash chip is
56read out and compared with the input image.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000057.TP
Uwe Hermannea07f622009-06-24 17:31:08 +000058.B "\-n, \-\-noverify"
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000059Skip the automatic verification of flash ROM contents after writing. Using this
Uwe Hermannea07f622009-06-24 17:31:08 +000060option is
61.B not
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000062recommended, you should only use it if you know what you are doing and if you
Uwe Hermannea07f622009-06-24 17:31:08 +000063feel that the time for verification takes too long.
64.sp
65Typical usage is:
Uwe Hermann9ff514d2010-06-07 19:41:25 +000066.B "flashrom \-n \-w <file>"
Uwe Hermannea07f622009-06-24 17:31:08 +000067.sp
68This option is only useful in combination with
69.BR \-\-write .
70.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +000071.B "\-v, \-\-verify <file>"
72Verify the flash ROM contents against the given
73.BR <file> .
Stefan Reinauerde063bf2006-09-21 13:09:22 +000074.TP
Stefan Reinauer261144c2006-07-27 23:29:02 +000075.B "\-E, \-\-erase"
Uwe Hermanne74b9f82009-04-10 14:41:29 +000076Erase the flash ROM chip.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000077.TP
Stefan Reinauer261144c2006-07-27 23:29:02 +000078.B "\-V, \-\-verbose"
Uwe Hermann9ff514d2010-06-07 19:41:25 +000079More verbose output. This option can be supplied multiple times
Stefan Taunereebeb532011-08-04 17:40:25 +000080(max. 3 times, i.e.
81.BR \-VVV )
Uwe Hermann9ff514d2010-06-07 19:41:25 +000082for even more debug output.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000083.TP
Stefan Reinauer261144c2006-07-27 23:29:02 +000084.B "\-c, \-\-chip" <chipname>
Uwe Hermann9ff514d2010-06-07 19:41:25 +000085Probe only for the specified flash ROM chip. This option takes the chip name as
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +000086printed by
87.B "flashrom \-L"
Uwe Hermann9ff514d2010-06-07 19:41:25 +000088without the vendor name as parameter. Please note that the chip name is
89case sensitive.
Joerg Mayer645c6df2010-03-13 14:47:48 +000090.TP
Joerg Mayer645c6df2010-03-13 14:47:48 +000091.B "\-f, \-\-force"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +000092Force one or more of the following actions:
Joerg Mayer645c6df2010-03-13 14:47:48 +000093.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +000094* Force chip read and pretend the chip is there.
95.sp
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +000096* Force chip access even if the chip is bigger than the maximum supported \
Uwe Hermann9ff514d2010-06-07 19:41:25 +000097size for the flash bus.
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +000098.sp
99* Force erase even if erase is known bad.
100.sp
101* Force write even if write is known bad.
Joerg Mayer645c6df2010-03-13 14:47:48 +0000102.TP
103.B "\-l, \-\-layout <file>"
104Read ROM layout from
105.BR <file> .
Uwe Hermann87c07932009-05-05 16:15:46 +0000106.sp
107flashrom supports ROM layouts. This allows you to flash certain parts of
108the flash chip only. A ROM layout file looks like follows:
109.sp
110 00000000:00008fff gfxrom
111 00009000:0003ffff normal
112 00040000:0007ffff fallback
113.sp
114 i.e.:
115 startaddr:endaddr name
116.sp
117All addresses are offsets within the file, not absolute addresses!
118If you only want to update the normal image in a ROM you can say:
119.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000120.B " flashrom \-\-layout rom.layout \-\-image normal \-w agami_aruma.rom"
Uwe Hermann87c07932009-05-05 16:15:46 +0000121.sp
122To update normal and fallback but leave the VGA BIOS alone, say:
123.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000124.B " flashrom \-l rom.layout \-i normal \"
Uwe Hermann87c07932009-05-05 16:15:46 +0000125.br
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000126.B " \-i fallback \-w agami_aruma.rom"
Uwe Hermann87c07932009-05-05 16:15:46 +0000127.sp
128Currently overlapping sections are not supported.
Stefan Reinauerde063bf2006-09-21 13:09:22 +0000129.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +0000130.B "\-i, \-\-image <name>"
Uwe Hermann67808fe2007-10-18 00:29:05 +0000131Only flash image
132.B <name>
133from flash layout.
Stefan Reinauerde063bf2006-09-21 13:09:22 +0000134.TP
Uwe Hermanne5ac1642008-03-12 11:54:51 +0000135.B "\-L, \-\-list\-supported"
Uwe Hermann941a2732011-07-25 21:12:57 +0000136List the flash chips, chipsets, mainboards, and external programmers
137(including PCI, USB, parallel port, and serial port based devices)
Uwe Hermanne8ba5382009-05-22 11:37:27 +0000138supported by flashrom.
Uwe Hermanne5ac1642008-03-12 11:54:51 +0000139.sp
Uwe Hermanne8ba5382009-05-22 11:37:27 +0000140There are many unlisted boards which will work out of the box, without
141special support in flashrom. Please let us know if you can verify that
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000142other boards work or do not work out of the box.
143.sp
144.B IMPORTANT:
145For verification you have
Uwe Hermanne8ba5382009-05-22 11:37:27 +0000146to test an ERASE and/or WRITE operation, so make sure you only do that
147if you have proper means to recover from failure!
Uwe Hermanne5ac1642008-03-12 11:54:51 +0000148.TP
Uwe Hermann20a293f2009-06-19 10:42:43 +0000149.B "\-z, \-\-list\-supported-wiki"
150Same as
151.BR \-\-list\-supported ,
152but outputs the supported hardware in MediaWiki syntax, so that it can be
Uwe Hermann941a2732011-07-25 21:12:57 +0000153easily pasted into the wiki page at
154.BR http://www.flashrom.org/ .
155Please note that MediaWiki output is not compiled in by default.
Uwe Hermann20a293f2009-06-19 10:42:43 +0000156.TP
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000157.B "\-p, \-\-programmer <name>[:parameter[,parameter[,parameter]]]"
Carl-Daniel Hailfingerce986772009-05-09 00:27:07 +0000158Specify the programmer device. Currently supported are:
159.sp
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000160.BR "* internal" " (default, for in-system flashing in the mainboard)"
161.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000162.BR "* dummy" " (virtual programmer for testing flashrom)"
Uwe Hermannc7e8a0c2009-05-19 14:14:21 +0000163.sp
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000164.BR "* nic3com" " (for flash ROMs on 3COM network cards)"
165.sp
Uwe Hermann829ed842010-05-24 17:39:14 +0000166.BR "* nicrealtek" " (for flash ROMs on Realtek network cards)"
167.sp
168.BR "* nicsmc1211" " (for flash ROMs on RTL8139-compatible SMC2 network cards)"
169.sp
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000170.BR "* nicnatsemi" " (for flash ROMs on National Semiconductor DP838* network \
171cards)"
172.sp
Uwe Hermann314cfba2011-07-28 19:23:09 +0000173.BR "* nicintel" " (for parallel flash ROMs on Intel 10/100Mbit network cards)
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000174.sp
Uwe Hermann2bc98f62009-09-30 18:29:55 +0000175.BR "* gfxnvidia" " (for flash ROMs on NVIDIA graphics cards)"
176.sp
TURBO Jb0912c02009-09-02 23:00:46 +0000177.BR "* drkaiser" " (for flash ROMs on Dr. Kaiser PC-Waechter PCI cards)"
178.sp
Uwe Hermannc7e8a0c2009-05-19 14:14:21 +0000179.BR "* satasii" " (for flash ROMs on Silicon Image SATA/IDE controllers)"
180.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000181.BR "* satamv" " (for flash ROMs on Marvell SATA controllers)"
182.sp
Uwe Hermannddd5c9e2010-02-21 21:17:00 +0000183.BR "* atahpt" " (for flash ROMs on Highpoint ATA/RAID controllers)"
184.sp
Pete Batardc0207062011-06-11 12:21:37 +0000185.BR "* ft2232_spi" " (for SPI flash ROMs attached to an FT2232/FT4232H family \
Uwe Hermann314cfba2011-07-28 19:23:09 +0000186based USB SPI programmer), including the DLP Design DLP-USB1232H, \
187FTDI FT2232H Mini-Module, FTDI FT4232H Mini-Module, openbiosprog-spi, Amontec \
Steve Markgraf0528b7f2011-08-12 01:19:32 +0000188JTAGkey/JTAGkey-tiny/JTAGkey-2, Dangerous Prototypes Bus Blaster, \
Samir Ibradžić7189a5f2011-10-20 23:14:10 +0000189Olimex ARM-USB-TINY/-H, Olimex ARM-USB-OCD/-H, TIAO/DIYGADGET USB
190Multi-Protocol Adapter (TUMPA), and GOEPEL PicoTAP.
Paul Fox05dfbe62009-06-16 21:08:06 +0000191.sp
Uwe Hermann314cfba2011-07-28 19:23:09 +0000192.BR "* serprog" " (for flash ROMs attached to a programmer speaking serprog), \
193including AVR flasher by Urja Rannikko, AVR flasher by eightdot, \
194Arduino Mega flasher by fritz, InSystemFlasher by Juhana Helovuo, and \
195atmegaXXu2-flasher by Stefan Tauner."
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000196.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000197.BR "* buspirate_spi" " (for SPI flash ROMs attached to a Bus Pirate)"
Carl-Daniel Hailfingerd5b28fa2009-11-24 18:27:10 +0000198.sp
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000199.BR "* dediprog" " (for SPI flash ROMs attached to a Dediprog SF100)"
200.sp
Carl-Daniel Hailfingerae418d82011-09-12 06:17:06 +0000201.BR "* rayer_spi" " (for SPI flash ROMs attached to a RayeR parport "
202or Xilinx DLC5 compatible cable)
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000203.sp
Michael Karchere5449392012-05-05 20:53:59 +0000204.BR "* pony_spi" " (for SPI flash ROMs attached to a SI-Prog serial port "
205bitbanging adapter)
206.sp
Uwe Hermann314cfba2011-07-28 19:23:09 +0000207.BR "* nicintel_spi" " (for SPI flash ROMs on Intel Gigabit network cards)"
Idwer Vollering004f4b72010-09-03 18:21:21 +0000208.sp
Uwe Hermann314cfba2011-07-28 19:23:09 +0000209.BR "* ogp_spi" " (for SPI flash ROMs on Open Graphics Project graphics card)"
Mark Marshall90021f22010-12-03 14:48:11 +0000210.sp
Carl-Daniel Hailfinger8541d232012-02-16 21:00:27 +0000211.BR "* linux_spi" " (for SPI flash ROMs accessible via /dev/spidevX.Y on Linux)"
212.sp
Michael Karchere5eafb22010-03-07 12:11:08 +0000213Some programmers have optional or mandatory parameters which are described
214in detail in the
215.B PROGRAMMER SPECIFIC INFO
216section. Support for some programmers can be disabled at compile time.
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000217.B "flashrom \-h"
Michael Karchere5eafb22010-03-07 12:11:08 +0000218lists all supported programmers.
219.TP
220.B "\-h, \-\-help"
221Show a help text and exit.
222.TP
223.B "\-R, \-\-version"
224Show version information and exit.
225.SH PROGRAMMER SPECIFIC INFO
226Some programmer drivers accept further parameters to set programmer-specific
Uwe Hermann4e3d0b32010-03-25 23:18:41 +0000227parameters. These parameters are separated from the programmer name by a
Michael Karchere5eafb22010-03-07 12:11:08 +0000228colon. While some programmers take arguments at fixed positions, other
229programmers use a key/value interface in which the key and value is separated
230by an equal sign and different pairs are separated by a comma or a colon.
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000231.SS
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000232.BR "internal " programmer
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000233.TP
234.B Board Enables
235.sp
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000236Some mainboards require to run mainboard specific code to enable flash erase
237and write support (and probe support on old systems with parallel flash).
238The mainboard brand and model (if it requires specific code) is usually
239autodetected using one of the following mechanisms: If your system is
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000240running coreboot, the mainboard type is determined from the coreboot table.
241Otherwise, the mainboard is detected by examining the onboard PCI devices
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000242and possibly DMI info. If PCI and DMI do not contain information to uniquely
Carl-Daniel Hailfinger2d927fb2012-01-04 00:48:27 +0000243identify the mainboard (which is the exception), or if you want to override
244the detected mainboard model, you can specify the mainboard using the
245.sp
246.B " flashrom \-p internal:mainboard=[<vendor>:]<board>"
247syntax.
248.sp
249See the 'Known boards' or 'Known laptops' section in the output
250of 'flashrom \-L' for a list of boards which require the specification of
251the board name, if no coreboot table is found.
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000252.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000253Some of these board-specific flash enabling functions (called
254.BR "board enables" )
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000255in flashrom have not yet been tested. If your mainboard is detected needing
256an untested board enable function, a warning message is printed and the
257board enable is not executed, because a wrong board enable function might
258cause the system to behave erratically, as board enable functions touch the
259low-level internals of a mainboard. Not executing a board enable function
260(if one is needed) might cause detection or erasing failure. If your board
261protects only part of the flash (commonly the top end, called boot block),
262flashrom might encounter an error only after erasing the unprotected part,
263so running without the board-enable function might be dangerous for erase
264and write (which includes erase).
265.sp
266The suggested procedure for a mainboard with untested board specific code is
267to first try to probe the ROM (just invoke flashrom and check that it
268detects your flash chip type) without running the board enable code (i.e.
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000269without any parameters). If it finds your chip, fine. Otherwise, retry
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000270probing your chip with the board-enable code running, using
271.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000272.B " flashrom \-p internal:boardenable=force"
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000273.sp
274If your chip is still not detected, the board enable code seems to be broken
275or the flash chip unsupported. Otherwise, make a backup of your current ROM
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000276contents (using
277.BR \-r )
278and store it to a medium outside of your computer, like
279a USB drive or a network share. If you needed to run the board enable code
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000280already for probing, use it for reading too. Now you can try to write the
281new image. You should enable the board enable code in any case now, as it
282has been written because it is known that writing/erasing without the board
283enable is going to fail. In any case (success or failure), please report to
284the flashrom mailing list, see below.
285.sp
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000286.TP
287.B Coreboot
288.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000289On systems running coreboot, flashrom checks whether the desired image matches
290your mainboard. This needs some special board ID to be present in the image.
291If flashrom detects that the image you want to write and the current board
292do not match, it will refuse to write the image unless you specify
293.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000294.B " flashrom \-p internal:boardmismatch=force"
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000295.TP
296.B ITE IT87 Super I/O
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000297.sp
Carl-Daniel Hailfinger01f3ef42010-03-25 02:50:40 +0000298If your mainboard uses an ITE IT87 series Super I/O for LPC<->SPI flash bus
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000299translation, flashrom should autodetect that configuration. If you want to
300set the I/O base port of the IT87 series SPI controller manually instead of
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000301using the value provided by the BIOS, use the
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +0000302.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000303.B " flashrom \-p internal:it87spiport=portnum"
304.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000305syntax where
306.B portnum
307is the I/O port number (must be a multiple of 8). In the unlikely case
308flashrom doesn't detect an active IT87 LPC<->SPI bridge, please send a bug
309report so we can diagnose the problem.
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000310.sp
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000311.TP
312.B Intel chipsets
313.sp
Stefan Tauner50e7c602011-11-08 10:55:54 +0000314If you have an Intel chipset with an ICH8 or later southbridge with SPI flash
315attached, and if a valid descriptor was written to it (e.g. by the vendor), the
316chipset provides an alternative way to access the flash chip(s) named
317.BR "Hardware Sequencing" .
318It is much simpler than the normal access method (called
319.BR "Software Sequencing" "),"
320but does not allow the software to choose the SPI commands to be sent.
321You can use the
322.sp
323.B " flashrom \-p internal:ich_spi_mode=value"
324.sp
325syntax where value can be
326.BR auto ", " swseq " or " hwseq .
327By default
328.RB "(or when setting " ich_spi_mode=auto )
329the module tries to use swseq and only activates hwseq if need be (e.g. if
330important opcodes are inaccessible due to lockdown; or if more than one flash
331chip is attached). The other options (swseq, hwseq) select the respective mode
332(if possible).
333.sp
Stefan Tauner5210e722012-02-16 01:13:00 +0000334ICH8 and later southbridges may also have locked address ranges of different
335kinds if a valid descriptor was written to it. The flash address space is then
336partitioned in multiple so called "Flash Regions" containing the host firmware,
337the ME firmware and so on respectively. The flash descriptor can also specify up
338to 5 so called "Protected Regions", which are freely chosen address ranges
339independent from the aforementioned "Flash Regions". All of them can be write
340and/or read protected individually. If flashrom detects such a lock it will
341disable write support unless the user forces it with the
342.sp
343.B " flashrom \-p internal:ich_spi_force=yes"
344.sp
345syntax. If this leads to erase or write accesses to the flash it would most
346probably bring it into an inconsistent and unbootable state and we will not
347provide any support in such a case.
348.sp
Carl-Daniel Hailfinger46fa0682011-07-25 22:44:09 +0000349If you have an Intel chipset with an ICH6 or later southbridge and if you want
350to set specific IDSEL values for a non-default flash chip or an embedded
351controller (EC), you can use the
352.sp
353.B " flashrom \-p internal:fwh_idsel=value"
354.sp
355syntax where value is the 48-bit hexadecimal raw value to be written in the
356IDSEL registers of the Intel southbridge. The upper 32 bits use one hex digit
357each per 512 kB range between 0xffc00000 and 0xffffffff, and the lower 16 bits
358use one hex digit each per 1024 kB range between 0xff400000 and 0xff7fffff.
359The rightmost hex digit corresponds with the lowest address range. All address
360ranges have a corresponding sister range 4 MB below with identical IDSEL
361settings. The default value for ICH7 is given in the example below.
362.sp
363Example:
364.B "flashrom \-p internal:fwh_idsel=0x001122334567"
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000365.TP
366.B Laptops
Carl-Daniel Hailfinger46fa0682011-07-25 22:44:09 +0000367.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000368Using flashrom on laptops is dangerous and may easily make your hardware
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000369unusable (see also the
370.B BUGS
371section). The embedded controller (EC) in these
372machines often interacts badly with flashing.
373.B http://www.flashrom.org/Laptops
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000374has more information. For example the EC firmware sometimes resides on the same
375flash chip as the host firmware. While flashrom tries to change the contents of
376that memory the EC might need to fetch new instructions or data from it and
377could stop working correctly. Probing for and reading from the chip may also
378irritate your EC and cause fan failure, backlight failure, sudden poweroff, and
379other nasty effects. flashrom will attempt to detect if it is running on a
380laptop and abort immediately for safety reasons if it clearly identifies the
381host computer as one. If you want to proceed anyway at your own risk, use
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000382.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000383.B " flashrom \-p internal:laptop=force_I_want_a_brick"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000384.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000385We will not help you if you force flashing on a laptop because this is a really
386dumb idea.
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000387.sp
388You have been warned.
389.sp
390Currently we rely on the chassis type encoded in the DMI/SMBIOS data to detect
391laptops. Some vendors did not implement those bits correctly or set them to
392generic and/or dummy values. flashrom will then issue a warning and bail out
393like above. In this case you can use
394.sp
395.B " flashrom \-p internal:laptop=this_is_not_a_laptop"
396.sp
397to tell flashrom (at your own risk) that it does not running on a laptop.
398.SS
Michael Karchere5eafb22010-03-07 12:11:08 +0000399.BR "dummy " programmer
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000400The dummy programmer operates on a buffer in memory only. It provides a safe
401and fast way to test various aspects of flashrom and is mainly used in
402development and while debugging.
403.sp
404It is able to emulate some chips to a certain degree (basic
405identify/read/erase/write operations work).
406.sp
Michael Karchere5eafb22010-03-07 12:11:08 +0000407An optional parameter specifies the bus types it
Carl-Daniel Hailfinger3504b532009-06-01 00:02:11 +0000408should support. For that you have to use the
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000409.sp
410.B " flashrom \-p dummy:bus=[type[+type[+type]]]"
411.sp
Carl-Daniel Hailfinger3504b532009-06-01 00:02:11 +0000412syntax where
413.B type
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000414can be
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000415.BR parallel ", " lpc ", " fwh ", " spi
416in any order. If you specify bus without type, all buses will be disabled.
417If you do not specify bus, all buses will be enabled.
Carl-Daniel Hailfinger3504b532009-06-01 00:02:11 +0000418.sp
419Example:
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000420.B "flashrom \-p dummy:bus=lpc+fwh"
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000421.sp
422The dummy programmer supports flash chip emulation for automated self-tests
423without hardware access. If you want to emulate a flash chip, use the
424.sp
425.B " flashrom \-p dummy:emulate=chip"
426.sp
427syntax where
428.B chip
429is one of the following chips (please specify only the chip name, not the
430vendor):
431.sp
432.RB "* ST " M25P10.RES " SPI flash chip (RES, page write)"
433.sp
434.RB "* SST " SST25VF040.REMS " SPI flash chip (REMS, byte write)"
435.sp
436.RB "* SST " SST25VF032B " SPI flash chip (RDID, AAI write)"
437.sp
438Example:
439.B "flashrom -p dummy:emulate=SST25VF040.REMS"
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000440.TP
441.B Persistent images
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000442.sp
443If you use flash chip emulation, flash image persistence is available as well
444by using the
445.sp
446.B " flashrom \-p dummy:emulate=chip,image=image.rom"
447.sp
448syntax where
449.B image.rom
450is the file where the simulated chip contents are read on flashrom startup and
451where the chip contents on flashrom shutdown are written to.
452.sp
453Example:
454.B "flashrom -p dummy:emulate=M25P10.RES,image=dummy.bin"
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000455.TP
456.B SPI write chunk size
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000457.sp
458If you use SPI flash chip emulation for a chip which supports SPI page write
459with the default opcode, you can set the maximum allowed write chunk size with
460the
461.sp
462.B " flashrom \-p dummy:emulate=chip,spi_write_256_chunksize=size"
463.sp
464syntax where
465.B size
466is the number of bytes (min. 1, max. 256).
467.sp
468Example:
469.sp
470.B " flashrom -p dummy:emulate=M25P10.RES,spi_write_256_chunksize=5"
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000471.TP
472.B SPI blacklist
Carl-Daniel Hailfinger1b83be52012-02-08 23:28:54 +0000473.sp
474To simulate a programmer which refuses to send certain SPI commands to the
475flash chip, you can specify a blacklist of SPI commands with the
476.sp
477.B " flashrom -p dummy:spi_blacklist=commandlist"
478.sp
479syntax where commandlist is a list of two-digit hexadecimal representations of
480SPI commands. If commandlist is e.g. 0302, flashrom will behave as if the SPI
481controller refuses to run command 0x03 (READ) and command 0x02 (WRITE).
482commandlist may be up to 512 characters (256 commands) long.
483Implementation note: flashrom will detect an error during command execution.
484.sp
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000485.TP
486.B SPI ignorelist
487.sp
Carl-Daniel Hailfinger1b83be52012-02-08 23:28:54 +0000488To simulate a flash chip which ignores (doesn't support) certain SPI commands,
489you can specify an ignorelist of SPI commands with the
490.sp
491.B " flashrom -p dummy:spi_ignorelist=commandlist"
492.sp
493syntax where commandlist is a list of two-digit hexadecimal representations of
494SPI commands. If commandlist is e.g. 0302, the emulated flash chip will ignore
495command 0x03 (READ) and command 0x02 (WRITE). commandlist may be up to 512
496characters (256 commands) long.
497Implementation note: flashrom won't detect an error during command execution.
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000498.SS
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000499.BR "nic3com" , " nicrealtek" , " nicsmc1211" , " nicnatsemi" , " nicintel\
500" , " nicintel_spi" , " gfxnvidia" , " ogp_spi" , " drkaiser" , " satasii\
501" , " satamv" ", and " atahpt " programmers
Michael Karchere5eafb22010-03-07 12:11:08 +0000502These programmers have an option to specify the PCI address of the card
503your want to use, which must be specified if more than one card supported
504by the selected programmer is installed in your system. The syntax is
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000505.sp
506.BR " flashrom \-p xxxx:pci=bb:dd.f" ,
507.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000508where
Uwe Hermannc7e8a0c2009-05-19 14:14:21 +0000509.B xxxx
510is the name of the programmer
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000511.B bb
512is the PCI bus number,
513.B dd
514is the PCI device number, and
515.B f
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000516is the PCI function number of the desired device.
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000517.sp
518Example:
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000519.B "flashrom \-p nic3com:pci=05:04.0"
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000520.SS
Carl-Daniel Hailfinger71127722010-05-31 15:27:27 +0000521.BR "ft2232_spi " programmer
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000522An optional parameter specifies the controller
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000523type and interface/port it should support. For that you have to use the
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000524.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000525.B " flashrom \-p ft2232_spi:type=model,port=interface"
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000526.sp
Carl-Daniel Hailfingerfeea2722009-07-01 00:02:23 +0000527syntax where
528.B model
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000529can be
Steve Markgraf0528b7f2011-08-12 01:19:32 +0000530.BR 2232H ", " 4232H ", " jtagkey ", " busblaster ", " openmoko ", " \
Uwe Hermann836b26a2011-10-14 20:33:14 +0000531arm-usb-tiny ", " arm-usb-tiny-h ", " arm-usb-ocd ", " arm-usb-ocd-h \
Samir Ibradžić7189a5f2011-10-20 23:14:10 +0000532", " tumpa ", or " picotap
Carl-Daniel Hailfingerfeea2722009-07-01 00:02:23 +0000533and
534.B interface
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000535can be
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000536.BR A ", or " B .
Carl-Daniel Hailfingerfeea2722009-07-01 00:02:23 +0000537The default model is
538.B 4232H
539and the default interface is
540.BR B .
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000541.SS
Michael Karchere5eafb22010-03-07 12:11:08 +0000542.BR "serprog " programmer
543A mandatory parameter specifies either a serial
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000544device/baud combination or an IP/port combination for communication with the
Michael Karchere5eafb22010-03-07 12:11:08 +0000545programmer. In the device/baud combination, the device has to start with a
546slash. For serial, you have to use the
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000547.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000548.B " flashrom \-p serprog:dev=/dev/device:baud"
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000549.sp
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000550syntax and for IP, you have to use
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000551.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000552.B " flashrom \-p serprog:ip=ipaddr:port"
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000553.sp
554instead. More information about serprog is available in
555.B serprog-protocol.txt
556in the source distribution.
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000557.SS
Carl-Daniel Hailfinger71127722010-05-31 15:27:27 +0000558.BR "buspirate_spi " programmer
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000559A required
560.B dev
561parameter specifies the Bus Pirate device node and an optional
562.B spispeed
563parameter specifies the frequency of the SPI bus. The parameter
Michael Karchere5eafb22010-03-07 12:11:08 +0000564delimiter is a comma. Syntax is
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000565.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000566.B " flashrom \-p buspirate_spi:dev=/dev/device,spispeed=frequency"
Michael Karchere5eafb22010-03-07 12:11:08 +0000567.sp
Carl-Daniel Hailfingerd5b28fa2009-11-24 18:27:10 +0000568where
569.B frequency
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000570can be
571.BR 30k ", " 125k ", " 250k ", " 1M ", " 2M ", " 2.6M ", " 4M " or " 8M
Michael Karchere5eafb22010-03-07 12:11:08 +0000572(in Hz). The default is the maximum frequency of 8 MHz.
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000573.SS
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000574.BR "dediprog " programmer
Carl-Daniel Hailfingerc2441382010-11-09 22:00:31 +0000575An optional
576.B voltage
577parameter specifies the voltage the Dediprog should use. The default unit is
578Volt if no unit is specified. You can use
579.BR mV ", " milliVolt ", " V " or " Volt
580as unit specifier. Syntax is
581.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000582.B " flashrom \-p dediprog:voltage=value"
Carl-Daniel Hailfingerc2441382010-11-09 22:00:31 +0000583.sp
584where
585.B value
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000586can be
Carl-Daniel Hailfingerc2441382010-11-09 22:00:31 +0000587.BR 0V ", " 1.8V ", " 2.5V ", " 3.5V
588or the equivalent in mV.
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000589.SS
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000590.BR "rayer_spi " programmer
Carl-Daniel Hailfinger37c42522010-10-05 19:19:48 +0000591The default I/O base address used for the parallel port is 0x378 and you can use
592the optional
593.B iobase
594parameter to specify an alternate base I/O address with the
595.sp
596.B " flashrom \-p rayer_spi:iobase=baseaddr"
597.sp
598syntax where
599.B baseaddr
600is base I/O port address of the parallel port, which must be a multiple of
601four. Make sure to not forget the "0x" prefix for hexadecimal port addresses.
602.sp
Carl-Daniel Hailfingerae418d82011-09-12 06:17:06 +0000603The default cable type is the RayeR cable. You can use the optional
604.B type
605parameter to specify the cable type with the
606.sp
607.B " flashrom \-p rayer_spi:type=model"
608.sp
609syntax where
610.B model
611can be
612.BR rayer " for the RayeR cable or " xilinx " for the Xilinx Parallel Cable III
613(DLC 5).
614.sp
615More information about the RayeR hardware is available at
616.BR "http://rayer.ic.cz/elektro/spipgm.htm " .
617The schematic of the Xilinx DLC 5 was published at
618.BR "http://www.xilinx.com/itp/xilinx4/data/docs/pac/appendixb.html " .
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000619.SS
Michael Karchere5449392012-05-05 20:53:59 +0000620.BR "pony_spi " programmer
621The serial port (like /dev/ttyS0, /dev/ttyUSB0 on Linux or COM3 on windows) is
622specified using the mandatory
623.B dev
624parameter. The adapter type is selectable between SI-Prog (used for
625SPI devices with PonyProg 2000) or a custom made serial bitbanging programmer
626named "serbang". The optional
627.B type
628parameter accepts the values "si_prog" (default) or "serbang".
629.sp
630Information about the SI-Prog adapter can be found at
631.BR "http://www.lancos.com/siprogsch.html " .
632.sp
633An example call to flashrom is
634.sp
635.B " flashrom \-p pony_spi:dev=/dev/ttyS0,type=serbang"
636.sp
637Please note that while USB-to-serial adapters work under certain circumstances,
638this slows down operation considerably.
639.SS
Mark Marshall90021f22010-12-03 14:48:11 +0000640.BR "ogp_spi " programmer
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000641The flash ROM chip to access must be specified with the
Mark Marshall90021f22010-12-03 14:48:11 +0000642.B rom
643parameter.
644.sp
645.B " flashrom \-p ogp_spi:rom=name"
646.sp
647Where
648.B name
649is either
650.B cprom
651or
652.B s3
653for the configuration ROM and
654.B bprom
655or
656.B bios
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000657for the BIOS ROM. If more than one card supported by the ogp_spi programmer
Mark Marshall90021f22010-12-03 14:48:11 +0000658is installed in your system, you have to specify the PCI address of the card
659you want to use with the
660.B pci=
661parameter as explained in the
662.B nic3com
663section above.
664.sp
665More information about the hardware is available at
Uwe Hermann941a2732011-07-25 21:12:57 +0000666.BR http://wiki.opengraphics.org .
Carl-Daniel Hailfinger8541d232012-02-16 21:00:27 +0000667.SS
668.BR "linux_spi " programmer
669You have to specify the SPI controller to use with the
670.sp
671.B " flashrom \-p linux_spi:dev=/dev/spidevX.Y"
672.sp
673syntax where
674.B /dev/spidevX.Y
675is the Linux device node for your SPI controller.
676.sp
677Please note that the linux_spi driver only works on Linux.
Peter Stuge42688e52009-01-26 02:20:56 +0000678.SH EXIT STATUS
679flashrom exits with 0 on success, 1 on most failures but with 2 if /dev/mem
680(/dev/xsvc on Solaris) can not be opened and with 3 if a call to mmap() fails.
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000681.SH REQUIREMENTS
682flashrom needs different access permissions for different programmers.
683.sp
684.B internal
685needs raw memory access, PCI configuration space access, raw I/O port
686access (x86) and MSR access (x86).
687.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000688.BR nic3com ", " nicrealtek ", " nicsmc1211 " and " nicnatsemi "
689need PCI configuration space read access and raw I/O port access.
690.sp
691.B atahpt
692needs PCI configuration space access and raw I/O port access.
693.sp
694.BR gfxnvidia " and " drkaiser
695need PCI configuration space access and raw memory access.
696.sp
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000697.B rayer_spi
698needs raw I/O port access.
699.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000700.B satasii
701needs PCI configuration space read access and raw memory access.
702.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000703.B satamv
704needs PCI configuration space read access, raw I/O port access and raw memory
705access.
706.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000707.B serprog
708needs TCP access to the network or userspace access to a serial port.
709.sp
710.B buspirate_spi
711needs userspace access to a serial port.
712.sp
713.BR dediprog " and " ft2232_spi
714need access to the USB device via libusb.
715.sp
716.B dummy
717needs no access permissions at all.
718.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000719.BR internal ", " nic3com ", " nicrealtek ", " nicsmc1211 ", " nicnatsemi ", "
720.BR gfxnvidia ", " drkaiser ", " satasii ", " satamv " and " atahpt
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000721have to be run as superuser/root, and need additional raw access permission.
722.sp
723.BR serprog ", " buspirate_spi ", " dediprog " and " ft2232_spi
724can be run as normal user on most operating systems if appropriate device
725permissions are set.
726.sp
Mark Marshall90021f22010-12-03 14:48:11 +0000727.B ogp
728needs PCI configuration space read access and raw memory access.
729.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000730On OpenBSD, you can obtain raw access permission by setting
Uwe Hermann941a2732011-07-25 21:12:57 +0000731.B "securelevel=-1"
732in
733.B "/etc/rc.securelevel"
734and rebooting, or rebooting into single user mode.
Stefan Reinauer261144c2006-07-27 23:29:02 +0000735.SH BUGS
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000736Please report any bugs to the flashrom mailing list at
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000737.B "<flashrom@flashrom.org>"
738.sp
739We recommend to subscribe first at
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000740.sp
741.B " http://www.flashrom.org/mailman/listinfo/flashrom"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000742.sp
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000743Many of the developers communicate via the
744.B "#flashrom"
745IRC channel on
746.BR chat.freenode.net .
747You are welcome to join and ask questions, send us bug and success reports there
748too. Please provide a way to contact you later (e.g. a mail address) and be
749patient if there is no immediate reaction. Also, we provide a pastebin service
750at
751.B http://paste.flashrom.org
752that is very useful when you want to share logs etc. without spamming the
753channel.
754.SS
755.B Laptops
756.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000757Using flashrom on laptops is dangerous and may easily make your hardware
Stefan Tauner9e9f6842012-02-16 20:55:27 +0000758unusable. flashrom will attempt to detect if it is running on a laptop and abort
759immediately for safety reasons. Please see the detailed discussion of this topic
760and associated flashrom options in the
761.B Laptops
762paragraph in the
763.B internal programmer
764subsection of the
765.B PROGRAMMER SPECIFIC INFO
766section.
Uwe Hermann941a2732011-07-25 21:12:57 +0000767.B " http://www.flashrom.org/Laptops"
Daniel Lenski65922a32012-02-15 23:40:23 +0000768.SS
769One-time programmable (OTP) memory and unique IDs
770.sp
771Some flash chips contain OTP memory often denoted as "security registers".
772They usually have a capacity in the range of some bytes to a few hundred
773bytes and can be used to give devices unique IDs etc. flashrom is not able
774to read or write these memories and may therefore not be able to duplicate a
775chip completely. For chip types known to include OTP memories a warning is
776printed when they are detected.
777.sp
778Similar to OTP memories are unique, factory programmed, unforgeable IDs.
779They are not modifiable by the user at all.
Stefan Taunerac54fbe2011-07-21 19:52:00 +0000780.SH LICENSE
Stefan Reinauer261144c2006-07-27 23:29:02 +0000781.B flashrom
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000782is covered by the GNU General Public License (GPL), version 2. Some files are
783additionally available under the GPL (version 2, or any later version).
Stefan Reinauer261144c2006-07-27 23:29:02 +0000784.SH COPYRIGHT
Stefan Reinauer261144c2006-07-27 23:29:02 +0000785.br
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000786Please see the individual files.
Stefan Reinauer261144c2006-07-27 23:29:02 +0000787.SH AUTHORS
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000788Andrew Morgan
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000789.br
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000790Carl-Daniel Hailfinger
791.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000792Claus Gindhart
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000793.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000794David Borg
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000795.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000796David Hendricks
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000797.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000798Dominik Geyer
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000799.br
Stefan Reinaueredc61882010-01-03 14:40:30 +0000800Eric Biederman
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000801.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000802Giampiero Giancipoli
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000803.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000804Helge Wagner
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000805.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000806Idwer Vollering
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000807.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000808Joe Bao
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000809.br
Stefan Taunerc0aaf952011-05-19 02:58:17 +0000810Joerg Fischer
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000811.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000812Joshua Roys
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000813.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000814Luc Verhaegen
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000815.br
Carl-Daniel Hailfinger451dc802009-05-01 11:00:39 +0000816Li-Ta Lo
817.br
Mark Marshall90021f22010-12-03 14:48:11 +0000818Mark Marshall
819.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000820Markus Boas
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000821.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000822Mattias Mattsson
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000823.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000824Michael Karcher
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +0000825.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000826Nikolay Petukhov
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000827.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000828Patrick Georgi
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000829.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000830Peter Lemenkov
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000831.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000832Peter Stuge
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000833.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000834Reinder E.N. de Haan
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000835.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000836Ronald G. Minnich
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000837.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000838Ronald Hoogenboom
Stefan Reinauer261144c2006-07-27 23:29:02 +0000839.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000840Sean Nelson
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +0000841.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000842Stefan Reinauer
Stefan Reinauer261144c2006-07-27 23:29:02 +0000843.br
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000844Stefan Tauner
845.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000846Stefan Wildemann
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000847.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000848Stephan Guilloux
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000849.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000850Steven James
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000851.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000852Uwe Hermann
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000853.br
Stefan Reinaueredc61882010-01-03 14:40:30 +0000854Wang Qingpei
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000855.br
Stefan Reinaueredc61882010-01-03 14:40:30 +0000856Yinghai Lu
Stefan Reinauerf8337dd2006-08-03 10:49:09 +0000857.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000858some others, please see the flashrom svn changelog for details.
859.br
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000860All authors can be reached via email at <flashrom@flashrom.org>.
Stefan Reinauer261144c2006-07-27 23:29:02 +0000861.PP
Stefan Taunerac54fbe2011-07-21 19:52:00 +0000862This manual page was written by Uwe Hermann <uwe@hermann-uwe.de>,
863Carl-Daniel Hailfinger and others.
Uwe Hermann42eb17f2008-01-18 17:48:51 +0000864It is licensed under the terms of the GNU GPL (version 2 or later).