blob: 2834570d768880ce307793b573467e0d92ae8e4a [file] [log] [blame]
Uwe Hermann941a2732011-07-25 21:12:57 +00001.TH FLASHROM 8 "Jul 25, 2011"
Stefan Reinauer261144c2006-07-27 23:29:02 +00002.SH NAME
Uwe Hermann530cb2d2009-05-14 22:58:21 +00003flashrom \- detect, read, write, verify and erase flash chips
Stefan Reinauer261144c2006-07-27 23:29:02 +00004.SH SYNOPSIS
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +00005.B flashrom \fR[\fB\-n\fR] [\fB\-V\fR] [\fB\-f\fR] [\fB\-h\fR|\fB\-R\fR|\
6\fB\-L\fR|\fB\-z\fR|\fB\-E\fR|\fB\-r\fR <file>|\fB\-w\fR <file>|\
7\fB\-v\fR <file>]
Uwe Hermann9ff514d2010-06-07 19:41:25 +00008 [\fB\-c\fR <chipname>] [\fB\-m\fR [<vendor>:]<board>] \
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +00009[\fB\-l\fR <file>]
10 [\fB\-i\fR <image>] [\fB\-p\fR <programmername>[:<parameters>]]
Stefan Reinauer261144c2006-07-27 23:29:02 +000011.SH DESCRIPTION
12.B flashrom
Uwe Hermanne8ba5382009-05-22 11:37:27 +000013is a utility for detecting, reading, writing, verifying and erasing flash
Uwe Hermann530cb2d2009-05-14 22:58:21 +000014chips. It's often used to flash BIOS/EFI/coreboot/firmware images in-system
Uwe Hermann941a2732011-07-25 21:12:57 +000015using a supported mainboard. However, it also supports various external
16PCI/USB/parallel-port/serial-port based devices which can program flash chips,
17including some network cards (NICs), SATA/IDE controller cards, graphics cards,
18the Bus Pirate device, various FTDI FT2232/FT4232H based USB devices, and more.
Uwe Hermanne74b9f82009-04-10 14:41:29 +000019.PP
Uwe Hermann9ff514d2010-06-07 19:41:25 +000020It supports a wide range of DIP32, PLCC32, DIP8, SO8/SOIC8, TSOP32, TSOP40,
Uwe Hermann941a2732011-07-25 21:12:57 +000021TSOP48, and BGA chips, which use various protocols such as LPC, FWH,
22parallel flash, or SPI.
Stefan Reinauer261144c2006-07-27 23:29:02 +000023.SH OPTIONS
Uwe Hermann9ff514d2010-06-07 19:41:25 +000024.B IMPORTANT:
Carl-Daniel Hailfinger5de93412009-05-01 10:53:49 +000025Please note that the command line interface for flashrom will change before
26flashrom 1.0. Do not use flashrom in scripts or other automated tools without
Uwe Hermanne8ba5382009-05-22 11:37:27 +000027checking that your flashrom version won't interpret options in a different way.
Carl-Daniel Hailfinger5de93412009-05-01 10:53:49 +000028.PP
Uwe Hermann9ff514d2010-06-07 19:41:25 +000029You can specify one of
30.BR \-h ", " \-R ", " \-L ", " \-z ", " \-E ", " \-r ", " \-w ", " \-v
31or no operation.
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000032If no operation is specified, flashrom will only probe for flash chips. It is
Michael Karcher31fd8252010-03-12 06:41:39 +000033recommended that if you try flashrom the first time on a system, you run it
Uwe Hermann941a2732011-07-25 21:12:57 +000034in probe-only mode and check the output. Also you are advised to make a
Uwe Hermann9ff514d2010-06-07 19:41:25 +000035backup of your current ROM contents with
36.B \-r
37before you try to write a new image.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000038.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +000039.B "\-r, \-\-read <file>"
40Read flash ROM contents and save them into the given
41.BR <file> .
Uwe Hermann941a2732011-07-25 21:12:57 +000042If the file already exists, it will be overwritten.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000043.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +000044.B "\-w, \-\-write <file>"
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000045Write
46.B <file>
Uwe Hermann9ff514d2010-06-07 19:41:25 +000047into flash ROM. This will first automatically
48.B erase
49the chip, then write to it.
Stefan Taunerac54fbe2011-07-21 19:52:00 +000050.sp
51In the process the chip is also read several times. First an in-memory backup
52is made for disaster recovery and to be able to skip regions that are
53already equal to the image file. This copy is updated along with the write
54operation. In case of erase errors it is even re-read completely. After
55writing has finished and if verification is enabled, the whole flash chip is
56read out and compared with the input image.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000057.TP
Uwe Hermannea07f622009-06-24 17:31:08 +000058.B "\-n, \-\-noverify"
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000059Skip the automatic verification of flash ROM contents after writing. Using this
Uwe Hermannea07f622009-06-24 17:31:08 +000060option is
61.B not
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000062recommended, you should only use it if you know what you are doing and if you
Uwe Hermannea07f622009-06-24 17:31:08 +000063feel that the time for verification takes too long.
64.sp
65Typical usage is:
Uwe Hermann9ff514d2010-06-07 19:41:25 +000066.B "flashrom \-n \-w <file>"
Uwe Hermannea07f622009-06-24 17:31:08 +000067.sp
68This option is only useful in combination with
69.BR \-\-write .
70.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +000071.B "\-v, \-\-verify <file>"
72Verify the flash ROM contents against the given
73.BR <file> .
Stefan Reinauerde063bf2006-09-21 13:09:22 +000074.TP
Stefan Reinauer261144c2006-07-27 23:29:02 +000075.B "\-E, \-\-erase"
Uwe Hermanne74b9f82009-04-10 14:41:29 +000076Erase the flash ROM chip.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000077.TP
Stefan Reinauer261144c2006-07-27 23:29:02 +000078.B "\-V, \-\-verbose"
Uwe Hermann9ff514d2010-06-07 19:41:25 +000079More verbose output. This option can be supplied multiple times
80(max. 2 times, i.e.
81.BR \-VV )
82for even more debug output.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000083.TP
Stefan Reinauer261144c2006-07-27 23:29:02 +000084.B "\-c, \-\-chip" <chipname>
Uwe Hermann9ff514d2010-06-07 19:41:25 +000085Probe only for the specified flash ROM chip. This option takes the chip name as
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +000086printed by
87.B "flashrom \-L"
Uwe Hermann9ff514d2010-06-07 19:41:25 +000088without the vendor name as parameter. Please note that the chip name is
89case sensitive.
Joerg Mayer645c6df2010-03-13 14:47:48 +000090.TP
Uwe Hermann9ff514d2010-06-07 19:41:25 +000091.B "\-m, \-\-mainboard" [<vendor>:]<board>
Joerg Mayer645c6df2010-03-13 14:47:48 +000092Override mainboard settings.
93.sp
94flashrom reads the coreboot table to determine the current mainboard. If no
95coreboot table could be read or if you want to override these values, you can
96specify \-m, e.g.:
97.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +000098.B " flashrom \-\-mainboard AGAMI:ARUMA \-w agami_aruma.rom"
Joerg Mayer645c6df2010-03-13 14:47:48 +000099.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000100See the 'Known boards' or 'Known laptops' section in the output
101of 'flashrom \-L' for a list of boards which require the specification of
102the board name, if no coreboot table is found.
Joerg Mayer645c6df2010-03-13 14:47:48 +0000103.TP
104.B "\-f, \-\-force"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000105Force one or more of the following actions:
Joerg Mayer645c6df2010-03-13 14:47:48 +0000106.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000107* Force chip read and pretend the chip is there.
108.sp
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000109* Force chip access even if the chip is bigger than the maximum supported \
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000110size for the flash bus.
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000111.sp
112* Force erase even if erase is known bad.
113.sp
114* Force write even if write is known bad.
Joerg Mayer645c6df2010-03-13 14:47:48 +0000115.TP
116.B "\-l, \-\-layout <file>"
117Read ROM layout from
118.BR <file> .
Uwe Hermann87c07932009-05-05 16:15:46 +0000119.sp
120flashrom supports ROM layouts. This allows you to flash certain parts of
121the flash chip only. A ROM layout file looks like follows:
122.sp
123 00000000:00008fff gfxrom
124 00009000:0003ffff normal
125 00040000:0007ffff fallback
126.sp
127 i.e.:
128 startaddr:endaddr name
129.sp
130All addresses are offsets within the file, not absolute addresses!
131If you only want to update the normal image in a ROM you can say:
132.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000133.B " flashrom \-\-layout rom.layout \-\-image normal \-w agami_aruma.rom"
Uwe Hermann87c07932009-05-05 16:15:46 +0000134.sp
135To update normal and fallback but leave the VGA BIOS alone, say:
136.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000137.B " flashrom \-l rom.layout \-i normal \"
Uwe Hermann87c07932009-05-05 16:15:46 +0000138.br
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000139.B " \-i fallback \-w agami_aruma.rom"
Uwe Hermann87c07932009-05-05 16:15:46 +0000140.sp
141Currently overlapping sections are not supported.
Stefan Reinauerde063bf2006-09-21 13:09:22 +0000142.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +0000143.B "\-i, \-\-image <name>"
Uwe Hermann67808fe2007-10-18 00:29:05 +0000144Only flash image
145.B <name>
146from flash layout.
Stefan Reinauerde063bf2006-09-21 13:09:22 +0000147.TP
Uwe Hermanne5ac1642008-03-12 11:54:51 +0000148.B "\-L, \-\-list\-supported"
Uwe Hermann941a2732011-07-25 21:12:57 +0000149List the flash chips, chipsets, mainboards, and external programmers
150(including PCI, USB, parallel port, and serial port based devices)
Uwe Hermanne8ba5382009-05-22 11:37:27 +0000151supported by flashrom.
Uwe Hermanne5ac1642008-03-12 11:54:51 +0000152.sp
Uwe Hermanne8ba5382009-05-22 11:37:27 +0000153There are many unlisted boards which will work out of the box, without
154special support in flashrom. Please let us know if you can verify that
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000155other boards work or do not work out of the box.
156.sp
157.B IMPORTANT:
158For verification you have
Uwe Hermanne8ba5382009-05-22 11:37:27 +0000159to test an ERASE and/or WRITE operation, so make sure you only do that
160if you have proper means to recover from failure!
Uwe Hermanne5ac1642008-03-12 11:54:51 +0000161.TP
Uwe Hermann20a293f2009-06-19 10:42:43 +0000162.B "\-z, \-\-list\-supported-wiki"
163Same as
164.BR \-\-list\-supported ,
165but outputs the supported hardware in MediaWiki syntax, so that it can be
Uwe Hermann941a2732011-07-25 21:12:57 +0000166easily pasted into the wiki page at
167.BR http://www.flashrom.org/ .
168Please note that MediaWiki output is not compiled in by default.
Uwe Hermann20a293f2009-06-19 10:42:43 +0000169.TP
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000170.B "\-p, \-\-programmer <name>[:parameter[,parameter[,parameter]]]"
Carl-Daniel Hailfingerce986772009-05-09 00:27:07 +0000171Specify the programmer device. Currently supported are:
172.sp
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000173.BR "* internal" " (default, for in-system flashing in the mainboard)"
174.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000175.BR "* dummy" " (virtual programmer for testing flashrom)"
Uwe Hermannc7e8a0c2009-05-19 14:14:21 +0000176.sp
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000177.BR "* nic3com" " (for flash ROMs on 3COM network cards)"
178.sp
Uwe Hermann829ed842010-05-24 17:39:14 +0000179.BR "* nicrealtek" " (for flash ROMs on Realtek network cards)"
180.sp
181.BR "* nicsmc1211" " (for flash ROMs on RTL8139-compatible SMC2 network cards)"
182.sp
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000183.BR "* nicnatsemi" " (for flash ROMs on National Semiconductor DP838* network \
184cards)"
185.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000186.BR "* nicintel" " (for parallel flash ROMs attached to Intel 10/100Mbit \
187network cards)
188.sp
Uwe Hermann2bc98f62009-09-30 18:29:55 +0000189.BR "* gfxnvidia" " (for flash ROMs on NVIDIA graphics cards)"
190.sp
TURBO Jb0912c02009-09-02 23:00:46 +0000191.BR "* drkaiser" " (for flash ROMs on Dr. Kaiser PC-Waechter PCI cards)"
192.sp
Uwe Hermannc7e8a0c2009-05-19 14:14:21 +0000193.BR "* satasii" " (for flash ROMs on Silicon Image SATA/IDE controllers)"
194.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000195.BR "* satamv" " (for flash ROMs on Marvell SATA controllers)"
196.sp
Uwe Hermannddd5c9e2010-02-21 21:17:00 +0000197.BR "* atahpt" " (for flash ROMs on Highpoint ATA/RAID controllers)"
198.sp
Pete Batardc0207062011-06-11 12:21:37 +0000199.BR "* ft2232_spi" " (for SPI flash ROMs attached to an FT2232/FT4232H family \
Jörg Fischer6529b9f2010-07-29 15:54:53 +0000200based USB SPI programmer)"
Paul Fox05dfbe62009-06-16 21:08:06 +0000201.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000202.BR "* serprog" " (for flash ROMs attached to a programmer speaking serprog)"
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000203.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000204.BR "* buspirate_spi" " (for SPI flash ROMs attached to a Bus Pirate)"
Carl-Daniel Hailfingerd5b28fa2009-11-24 18:27:10 +0000205.sp
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000206.BR "* dediprog" " (for SPI flash ROMs attached to a Dediprog SF100)"
207.sp
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000208.BR "* rayer_spi" " (for SPI flash ROMs attached to a RayeR parport \
209based programmer)"
210.sp
Idwer Vollering004f4b72010-09-03 18:21:21 +0000211.BR "* nicintel_spi" " (for SPI flash ROMs attached to an Intel Gigabit \
212network cards)"
213.sp
Mark Marshall90021f22010-12-03 14:48:11 +0000214.BR "* ogp_spi" " (for SPI flash ROMs attached to an Open Graphics Project \
215graphics card)"
216.sp
Michael Karchere5eafb22010-03-07 12:11:08 +0000217Some programmers have optional or mandatory parameters which are described
218in detail in the
219.B PROGRAMMER SPECIFIC INFO
220section. Support for some programmers can be disabled at compile time.
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000221.B "flashrom \-h"
Michael Karchere5eafb22010-03-07 12:11:08 +0000222lists all supported programmers.
223.TP
224.B "\-h, \-\-help"
225Show a help text and exit.
226.TP
227.B "\-R, \-\-version"
228Show version information and exit.
229.SH PROGRAMMER SPECIFIC INFO
230Some programmer drivers accept further parameters to set programmer-specific
Uwe Hermann4e3d0b32010-03-25 23:18:41 +0000231parameters. These parameters are separated from the programmer name by a
Michael Karchere5eafb22010-03-07 12:11:08 +0000232colon. While some programmers take arguments at fixed positions, other
233programmers use a key/value interface in which the key and value is separated
234by an equal sign and different pairs are separated by a comma or a colon.
235.TP
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000236.BR "internal " programmer
237Some mainboards require to run mainboard specific code to enable flash erase
238and write support (and probe support on old systems with parallel flash).
239The mainboard brand and model (if it requires specific code) is usually
240autodetected using one of the following mechanisms: If your system is
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000241running coreboot, the mainboard type is determined from the coreboot table.
242Otherwise, the mainboard is detected by examining the onboard PCI devices
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000243and possibly DMI info. If PCI and DMI do not contain information to uniquely
244identify the mainboard (which is the exception), it might be necessary to
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000245specify the mainboard using the
246.B \-m
247switch (see above).
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000248.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000249Some of these board-specific flash enabling functions (called
250.BR "board enables" )
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000251in flashrom have not yet been tested. If your mainboard is detected needing
252an untested board enable function, a warning message is printed and the
253board enable is not executed, because a wrong board enable function might
254cause the system to behave erratically, as board enable functions touch the
255low-level internals of a mainboard. Not executing a board enable function
256(if one is needed) might cause detection or erasing failure. If your board
257protects only part of the flash (commonly the top end, called boot block),
258flashrom might encounter an error only after erasing the unprotected part,
259so running without the board-enable function might be dangerous for erase
260and write (which includes erase).
261.sp
262The suggested procedure for a mainboard with untested board specific code is
263to first try to probe the ROM (just invoke flashrom and check that it
264detects your flash chip type) without running the board enable code (i.e.
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000265without any parameters). If it finds your chip, fine. Otherwise, retry
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000266probing your chip with the board-enable code running, using
267.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000268.B " flashrom \-p internal:boardenable=force"
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000269.sp
270If your chip is still not detected, the board enable code seems to be broken
271or the flash chip unsupported. Otherwise, make a backup of your current ROM
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000272contents (using
273.BR \-r )
274and store it to a medium outside of your computer, like
275a USB drive or a network share. If you needed to run the board enable code
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000276already for probing, use it for reading too. Now you can try to write the
277new image. You should enable the board enable code in any case now, as it
278has been written because it is known that writing/erasing without the board
279enable is going to fail. In any case (success or failure), please report to
280the flashrom mailing list, see below.
281.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000282On systems running coreboot, flashrom checks whether the desired image matches
283your mainboard. This needs some special board ID to be present in the image.
284If flashrom detects that the image you want to write and the current board
285do not match, it will refuse to write the image unless you specify
286.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000287.B " flashrom \-p internal:boardmismatch=force"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000288.sp
Carl-Daniel Hailfinger01f3ef42010-03-25 02:50:40 +0000289If your mainboard uses an ITE IT87 series Super I/O for LPC<->SPI flash bus
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000290translation, flashrom should autodetect that configuration. If you want to
291set the I/O base port of the IT87 series SPI controller manually instead of
292using the value provided by the BIOS, use the
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +0000293.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000294.B " flashrom \-p internal:it87spiport=portnum"
295.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000296syntax where
297.B portnum
298is the I/O port number (must be a multiple of 8). In the unlikely case
299flashrom doesn't detect an active IT87 LPC<->SPI bridge, please send a bug
300report so we can diagnose the problem.
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000301.sp
Carl-Daniel Hailfinger46fa0682011-07-25 22:44:09 +0000302If you have an Intel chipset with an ICH6 or later southbridge and if you want
303to set specific IDSEL values for a non-default flash chip or an embedded
304controller (EC), you can use the
305.sp
306.B " flashrom \-p internal:fwh_idsel=value"
307.sp
308syntax where value is the 48-bit hexadecimal raw value to be written in the
309IDSEL registers of the Intel southbridge. The upper 32 bits use one hex digit
310each per 512 kB range between 0xffc00000 and 0xffffffff, and the lower 16 bits
311use one hex digit each per 1024 kB range between 0xff400000 and 0xff7fffff.
312The rightmost hex digit corresponds with the lowest address range. All address
313ranges have a corresponding sister range 4 MB below with identical IDSEL
314settings. The default value for ICH7 is given in the example below.
315.sp
316Example:
317.B "flashrom \-p internal:fwh_idsel=0x001122334567"
318.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000319Using flashrom on laptops is dangerous and may easily make your hardware
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000320unusable (see also the
321.B BUGS
322section). The embedded controller (EC) in these
323machines often interacts badly with flashing.
324.B http://www.flashrom.org/Laptops
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000325has more information. If flash is shared with the EC, erase is guaranteed to
326brick your laptop and write is very likely to brick your laptop.
327Chip read and probe may irritate your EC and cause fan failure, backlight
328failure, sudden poweroff, and other nasty effects.
329flashrom will attempt to detect laptops and abort immediately for safety
330reasons.
331If you want to proceed anyway at your own risk, use
332.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000333.B " flashrom \-p internal:laptop=force_I_want_a_brick"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000334.sp
335You have been warned.
336.sp
337We will not help you if you force flashing on a laptop because this is a really
338dumb idea.
Carl-Daniel Hailfinger01f3ef42010-03-25 02:50:40 +0000339.TP
Michael Karchere5eafb22010-03-07 12:11:08 +0000340.BR "dummy " programmer
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000341The dummy programmer operates on a buffer in memory only. It provides a safe
342and fast way to test various aspects of flashrom and is mainly used in
343development and while debugging.
344.sp
345It is able to emulate some chips to a certain degree (basic
346identify/read/erase/write operations work).
347.sp
Michael Karchere5eafb22010-03-07 12:11:08 +0000348An optional parameter specifies the bus types it
Carl-Daniel Hailfinger3504b532009-06-01 00:02:11 +0000349should support. For that you have to use the
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000350.sp
351.B " flashrom \-p dummy:bus=[type[+type[+type]]]"
352.sp
Carl-Daniel Hailfinger3504b532009-06-01 00:02:11 +0000353syntax where
354.B type
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000355can be
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000356.BR parallel ", " lpc ", " fwh ", " spi
357in any order. If you specify bus without type, all buses will be disabled.
358If you do not specify bus, all buses will be enabled.
Carl-Daniel Hailfinger3504b532009-06-01 00:02:11 +0000359.sp
360Example:
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000361.B "flashrom \-p dummy:bus=lpc+fwh"
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000362.sp
363The dummy programmer supports flash chip emulation for automated self-tests
364without hardware access. If you want to emulate a flash chip, use the
365.sp
366.B " flashrom \-p dummy:emulate=chip"
367.sp
368syntax where
369.B chip
370is one of the following chips (please specify only the chip name, not the
371vendor):
372.sp
373.RB "* ST " M25P10.RES " SPI flash chip (RES, page write)"
374.sp
375.RB "* SST " SST25VF040.REMS " SPI flash chip (REMS, byte write)"
376.sp
377.RB "* SST " SST25VF032B " SPI flash chip (RDID, AAI write)"
378.sp
379Example:
380.B "flashrom -p dummy:emulate=SST25VF040.REMS"
381.sp
382If you use flash chip emulation, flash image persistence is available as well
383by using the
384.sp
385.B " flashrom \-p dummy:emulate=chip,image=image.rom"
386.sp
387syntax where
388.B image.rom
389is the file where the simulated chip contents are read on flashrom startup and
390where the chip contents on flashrom shutdown are written to.
391.sp
392Example:
393.B "flashrom -p dummy:emulate=M25P10.RES,image=dummy.bin"
394.sp
395If you use SPI flash chip emulation for a chip which supports SPI page write
396with the default opcode, you can set the maximum allowed write chunk size with
397the
398.sp
399.B " flashrom \-p dummy:emulate=chip,spi_write_256_chunksize=size"
400.sp
401syntax where
402.B size
403is the number of bytes (min. 1, max. 256).
404.sp
405Example:
406.sp
407.B " flashrom -p dummy:emulate=M25P10.RES,spi_write_256_chunksize=5"
Michael Karchere5eafb22010-03-07 12:11:08 +0000408.TP
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000409.BR "nic3com" , " nicrealtek" , " nicsmc1211" , " nicnatsemi" , " nicintel\
410" , " nicintel_spi" , " gfxnvidia" , " ogp_spi" , " drkaiser" , " satasii\
411" , " satamv" ", and " atahpt " programmers
Michael Karchere5eafb22010-03-07 12:11:08 +0000412These programmers have an option to specify the PCI address of the card
413your want to use, which must be specified if more than one card supported
414by the selected programmer is installed in your system. The syntax is
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000415.sp
416.BR " flashrom \-p xxxx:pci=bb:dd.f" ,
417.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000418where
Uwe Hermannc7e8a0c2009-05-19 14:14:21 +0000419.B xxxx
420is the name of the programmer
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000421.B bb
422is the PCI bus number,
423.B dd
424is the PCI device number, and
425.B f
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000426is the PCI function number of the desired device.
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000427.sp
428Example:
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000429.B "flashrom \-p nic3com:pci=05:04.0"
Michael Karchere5eafb22010-03-07 12:11:08 +0000430.TP
Carl-Daniel Hailfinger71127722010-05-31 15:27:27 +0000431.BR "ft2232_spi " programmer
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000432An optional parameter specifies the controller
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000433type and interface/port it should support. For that you have to use the
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000434.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000435.B " flashrom \-p ft2232_spi:type=model,port=interface"
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000436.sp
Carl-Daniel Hailfingerfeea2722009-07-01 00:02:23 +0000437syntax where
438.B model
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000439can be
Pete Batardc0207062011-06-11 12:21:37 +0000440.BR 2232H ", " 4232H ", " jtagkey ", " openmoko ", " arm-usb-tiny ", " \
441arm-usb-tiny-h ", " arm-usb-ocd " or " arm-usb-ocd-h
Carl-Daniel Hailfingerfeea2722009-07-01 00:02:23 +0000442and
443.B interface
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000444can be
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000445.BR A ", or " B .
Carl-Daniel Hailfingerfeea2722009-07-01 00:02:23 +0000446The default model is
447.B 4232H
448and the default interface is
449.BR B .
Michael Karchere5eafb22010-03-07 12:11:08 +0000450.TP
451.BR "serprog " programmer
452A mandatory parameter specifies either a serial
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000453device/baud combination or an IP/port combination for communication with the
Michael Karchere5eafb22010-03-07 12:11:08 +0000454programmer. In the device/baud combination, the device has to start with a
455slash. For serial, you have to use the
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000456.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000457.B " flashrom \-p serprog:dev=/dev/device:baud"
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000458.sp
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000459syntax and for IP, you have to use
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000460.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000461.B " flashrom \-p serprog:ip=ipaddr:port"
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000462.sp
463instead. More information about serprog is available in
464.B serprog-protocol.txt
465in the source distribution.
Michael Karchere5eafb22010-03-07 12:11:08 +0000466.TP
Carl-Daniel Hailfinger71127722010-05-31 15:27:27 +0000467.BR "buspirate_spi " programmer
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000468A required
469.B dev
470parameter specifies the Bus Pirate device node and an optional
471.B spispeed
472parameter specifies the frequency of the SPI bus. The parameter
Michael Karchere5eafb22010-03-07 12:11:08 +0000473delimiter is a comma. Syntax is
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000474.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000475.B " flashrom \-p buspirate_spi:dev=/dev/device,spispeed=frequency"
Michael Karchere5eafb22010-03-07 12:11:08 +0000476.sp
Carl-Daniel Hailfingerd5b28fa2009-11-24 18:27:10 +0000477where
478.B frequency
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000479can be
480.BR 30k ", " 125k ", " 250k ", " 1M ", " 2M ", " 2.6M ", " 4M " or " 8M
Michael Karchere5eafb22010-03-07 12:11:08 +0000481(in Hz). The default is the maximum frequency of 8 MHz.
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000482.TP
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000483.BR "dediprog " programmer
Carl-Daniel Hailfingerc2441382010-11-09 22:00:31 +0000484An optional
485.B voltage
486parameter specifies the voltage the Dediprog should use. The default unit is
487Volt if no unit is specified. You can use
488.BR mV ", " milliVolt ", " V " or " Volt
489as unit specifier. Syntax is
490.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000491.B " flashrom \-p dediprog:voltage=value"
Carl-Daniel Hailfingerc2441382010-11-09 22:00:31 +0000492.sp
493where
494.B value
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000495can be
Carl-Daniel Hailfingerc2441382010-11-09 22:00:31 +0000496.BR 0V ", " 1.8V ", " 2.5V ", " 3.5V
497or the equivalent in mV.
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000498.TP
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000499.BR "rayer_spi " programmer
Carl-Daniel Hailfinger37c42522010-10-05 19:19:48 +0000500The default I/O base address used for the parallel port is 0x378 and you can use
501the optional
502.B iobase
503parameter to specify an alternate base I/O address with the
504.sp
505.B " flashrom \-p rayer_spi:iobase=baseaddr"
506.sp
507syntax where
508.B baseaddr
509is base I/O port address of the parallel port, which must be a multiple of
510four. Make sure to not forget the "0x" prefix for hexadecimal port addresses.
511.sp
512More information about the hardware is available at
Uwe Hermann941a2732011-07-25 21:12:57 +0000513.BR http://rayer.ic.cz/elektro/spipgm.htm .
Mark Marshall90021f22010-12-03 14:48:11 +0000514.TP
515.BR "ogp_spi " programmer
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000516The flash ROM chip to access must be specified with the
Mark Marshall90021f22010-12-03 14:48:11 +0000517.B rom
518parameter.
519.sp
520.B " flashrom \-p ogp_spi:rom=name"
521.sp
522Where
523.B name
524is either
525.B cprom
526or
527.B s3
528for the configuration ROM and
529.B bprom
530or
531.B bios
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000532for the BIOS ROM. If more than one card supported by the ogp_spi programmer
Mark Marshall90021f22010-12-03 14:48:11 +0000533is installed in your system, you have to specify the PCI address of the card
534you want to use with the
535.B pci=
536parameter as explained in the
537.B nic3com
538section above.
539.sp
540More information about the hardware is available at
Uwe Hermann941a2732011-07-25 21:12:57 +0000541.BR http://wiki.opengraphics.org .
Peter Stuge42688e52009-01-26 02:20:56 +0000542.SH EXIT STATUS
543flashrom exits with 0 on success, 1 on most failures but with 2 if /dev/mem
544(/dev/xsvc on Solaris) can not be opened and with 3 if a call to mmap() fails.
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000545.SH REQUIREMENTS
546flashrom needs different access permissions for different programmers.
547.sp
548.B internal
549needs raw memory access, PCI configuration space access, raw I/O port
550access (x86) and MSR access (x86).
551.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000552.BR nic3com ", " nicrealtek ", " nicsmc1211 " and " nicnatsemi "
553need PCI configuration space read access and raw I/O port access.
554.sp
555.B atahpt
556needs PCI configuration space access and raw I/O port access.
557.sp
558.BR gfxnvidia " and " drkaiser
559need PCI configuration space access and raw memory access.
560.sp
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000561.B rayer_spi
562needs raw I/O port access.
563.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000564.B satasii
565needs PCI configuration space read access and raw memory access.
566.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000567.B satamv
568needs PCI configuration space read access, raw I/O port access and raw memory
569access.
570.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000571.B serprog
572needs TCP access to the network or userspace access to a serial port.
573.sp
574.B buspirate_spi
575needs userspace access to a serial port.
576.sp
577.BR dediprog " and " ft2232_spi
578need access to the USB device via libusb.
579.sp
580.B dummy
581needs no access permissions at all.
582.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000583.BR internal ", " nic3com ", " nicrealtek ", " nicsmc1211 ", " nicnatsemi ", "
584.BR gfxnvidia ", " drkaiser ", " satasii ", " satamv " and " atahpt
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000585have to be run as superuser/root, and need additional raw access permission.
586.sp
587.BR serprog ", " buspirate_spi ", " dediprog " and " ft2232_spi
588can be run as normal user on most operating systems if appropriate device
589permissions are set.
590.sp
Mark Marshall90021f22010-12-03 14:48:11 +0000591.B ogp
592needs PCI configuration space read access and raw memory access.
593.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000594On OpenBSD, you can obtain raw access permission by setting
Uwe Hermann941a2732011-07-25 21:12:57 +0000595.B "securelevel=-1"
596in
597.B "/etc/rc.securelevel"
598and rebooting, or rebooting into single user mode.
Stefan Reinauer261144c2006-07-27 23:29:02 +0000599.SH BUGS
Uwe Hermann42eb17f2008-01-18 17:48:51 +0000600Please report any bugs at
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000601.sp
602.B " http://www.flashrom.org/trac/flashrom/newticket"
603.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000604or on the flashrom mailing list at
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000605.B "<flashrom@flashrom.org>"
606.sp
607We recommend to subscribe first at
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000608.sp
609.B " http://www.flashrom.org/mailman/listinfo/flashrom"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000610.sp
611Using flashrom on laptops is dangerous and may easily make your hardware
612unusable unless you can desolder the flash chip and have a full flash chip
613backup. This is caused by the embedded controller (EC) present in many laptops,
614which interacts badly with any flash attempts. This is a hardware limitation
615and flashrom will attempt to detect it and abort immediately for safety reasons.
Uwe Hermann941a2732011-07-25 21:12:57 +0000616.sp
617More information about flashrom on laptops is available from
618.sp
619.B " http://www.flashrom.org/Laptops"
Stefan Taunerac54fbe2011-07-21 19:52:00 +0000620.SH LICENSE
Stefan Reinauer261144c2006-07-27 23:29:02 +0000621.B flashrom
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000622is covered by the GNU General Public License (GPL), version 2. Some files are
623additionally available under the GPL (version 2, or any later version).
Stefan Reinauer261144c2006-07-27 23:29:02 +0000624.SH COPYRIGHT
Stefan Reinauer261144c2006-07-27 23:29:02 +0000625.br
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000626Please see the individual files.
Stefan Reinauer261144c2006-07-27 23:29:02 +0000627.SH AUTHORS
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000628Andrew Morgan
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000629.br
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000630Carl-Daniel Hailfinger
631.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000632Claus Gindhart
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000633.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000634David Borg
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000635.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000636David Hendricks
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000637.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000638Dominik Geyer
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000639.br
Stefan Reinaueredc61882010-01-03 14:40:30 +0000640Eric Biederman
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000641.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000642Giampiero Giancipoli
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000643.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000644Helge Wagner
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000645.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000646Idwer Vollering
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000647.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000648Joe Bao
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000649.br
Stefan Taunerc0aaf952011-05-19 02:58:17 +0000650Joerg Fischer
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000651.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000652Joshua Roys
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000653.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000654Luc Verhaegen
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000655.br
Carl-Daniel Hailfinger451dc802009-05-01 11:00:39 +0000656Li-Ta Lo
657.br
Mark Marshall90021f22010-12-03 14:48:11 +0000658Mark Marshall
659.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000660Markus Boas
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000661.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000662Mattias Mattsson
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000663.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000664Michael Karcher
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +0000665.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000666Nikolay Petukhov
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000667.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000668Patrick Georgi
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000669.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000670Peter Lemenkov
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000671.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000672Peter Stuge
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000673.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000674Reinder E.N. de Haan
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000675.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000676Ronald G. Minnich
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000677.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000678Ronald Hoogenboom
Stefan Reinauer261144c2006-07-27 23:29:02 +0000679.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000680Sean Nelson
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +0000681.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000682Stefan Reinauer
Stefan Reinauer261144c2006-07-27 23:29:02 +0000683.br
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000684Stefan Tauner
685.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000686Stefan Wildemann
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000687.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000688Stephan Guilloux
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000689.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000690Steven James
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000691.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000692Uwe Hermann
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000693.br
Stefan Reinaueredc61882010-01-03 14:40:30 +0000694Wang Qingpei
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000695.br
Stefan Reinaueredc61882010-01-03 14:40:30 +0000696Yinghai Lu
Stefan Reinauerf8337dd2006-08-03 10:49:09 +0000697.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000698some others, please see the flashrom svn changelog for details.
699.br
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000700All authors can be reached via email at <flashrom@flashrom.org>.
Stefan Reinauer261144c2006-07-27 23:29:02 +0000701.PP
Stefan Taunerac54fbe2011-07-21 19:52:00 +0000702This manual page was written by Uwe Hermann <uwe@hermann-uwe.de>,
703Carl-Daniel Hailfinger and others.
Uwe Hermann42eb17f2008-01-18 17:48:51 +0000704It is licensed under the terms of the GNU GPL (version 2 or later).