blob: bc5e0a5fba9244a31c15e8ae81cc7d409e20c402 [file] [log] [blame]
Uwe Hermann941a2732011-07-25 21:12:57 +00001.TH FLASHROM 8 "Jul 25, 2011"
Stefan Reinauer261144c2006-07-27 23:29:02 +00002.SH NAME
Uwe Hermann530cb2d2009-05-14 22:58:21 +00003flashrom \- detect, read, write, verify and erase flash chips
Stefan Reinauer261144c2006-07-27 23:29:02 +00004.SH SYNOPSIS
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +00005.B flashrom \fR[\fB\-n\fR] [\fB\-V\fR] [\fB\-f\fR] [\fB\-h\fR|\fB\-R\fR|\
6\fB\-L\fR|\fB\-z\fR|\fB\-E\fR|\fB\-r\fR <file>|\fB\-w\fR <file>|\
7\fB\-v\fR <file>]
Uwe Hermann9ff514d2010-06-07 19:41:25 +00008 [\fB\-c\fR <chipname>] [\fB\-m\fR [<vendor>:]<board>] \
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +00009[\fB\-l\fR <file>]
10 [\fB\-i\fR <image>] [\fB\-p\fR <programmername>[:<parameters>]]
Stefan Reinauer261144c2006-07-27 23:29:02 +000011.SH DESCRIPTION
12.B flashrom
Uwe Hermanne8ba5382009-05-22 11:37:27 +000013is a utility for detecting, reading, writing, verifying and erasing flash
Uwe Hermann530cb2d2009-05-14 22:58:21 +000014chips. It's often used to flash BIOS/EFI/coreboot/firmware images in-system
Uwe Hermann941a2732011-07-25 21:12:57 +000015using a supported mainboard. However, it also supports various external
16PCI/USB/parallel-port/serial-port based devices which can program flash chips,
17including some network cards (NICs), SATA/IDE controller cards, graphics cards,
18the Bus Pirate device, various FTDI FT2232/FT4232H based USB devices, and more.
Uwe Hermanne74b9f82009-04-10 14:41:29 +000019.PP
Uwe Hermann9ff514d2010-06-07 19:41:25 +000020It supports a wide range of DIP32, PLCC32, DIP8, SO8/SOIC8, TSOP32, TSOP40,
Uwe Hermann941a2732011-07-25 21:12:57 +000021TSOP48, and BGA chips, which use various protocols such as LPC, FWH,
22parallel flash, or SPI.
Stefan Reinauer261144c2006-07-27 23:29:02 +000023.SH OPTIONS
Uwe Hermann9ff514d2010-06-07 19:41:25 +000024.B IMPORTANT:
Carl-Daniel Hailfinger5de93412009-05-01 10:53:49 +000025Please note that the command line interface for flashrom will change before
26flashrom 1.0. Do not use flashrom in scripts or other automated tools without
Uwe Hermanne8ba5382009-05-22 11:37:27 +000027checking that your flashrom version won't interpret options in a different way.
Carl-Daniel Hailfinger5de93412009-05-01 10:53:49 +000028.PP
Uwe Hermann9ff514d2010-06-07 19:41:25 +000029You can specify one of
30.BR \-h ", " \-R ", " \-L ", " \-z ", " \-E ", " \-r ", " \-w ", " \-v
31or no operation.
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000032If no operation is specified, flashrom will only probe for flash chips. It is
Michael Karcher31fd8252010-03-12 06:41:39 +000033recommended that if you try flashrom the first time on a system, you run it
Uwe Hermann941a2732011-07-25 21:12:57 +000034in probe-only mode and check the output. Also you are advised to make a
Uwe Hermann9ff514d2010-06-07 19:41:25 +000035backup of your current ROM contents with
36.B \-r
37before you try to write a new image.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000038.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +000039.B "\-r, \-\-read <file>"
40Read flash ROM contents and save them into the given
41.BR <file> .
Uwe Hermann941a2732011-07-25 21:12:57 +000042If the file already exists, it will be overwritten.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000043.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +000044.B "\-w, \-\-write <file>"
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000045Write
46.B <file>
Uwe Hermann9ff514d2010-06-07 19:41:25 +000047into flash ROM. This will first automatically
48.B erase
49the chip, then write to it.
Stefan Taunerac54fbe2011-07-21 19:52:00 +000050.sp
51In the process the chip is also read several times. First an in-memory backup
52is made for disaster recovery and to be able to skip regions that are
53already equal to the image file. This copy is updated along with the write
54operation. In case of erase errors it is even re-read completely. After
55writing has finished and if verification is enabled, the whole flash chip is
56read out and compared with the input image.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000057.TP
Uwe Hermannea07f622009-06-24 17:31:08 +000058.B "\-n, \-\-noverify"
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000059Skip the automatic verification of flash ROM contents after writing. Using this
Uwe Hermannea07f622009-06-24 17:31:08 +000060option is
61.B not
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +000062recommended, you should only use it if you know what you are doing and if you
Uwe Hermannea07f622009-06-24 17:31:08 +000063feel that the time for verification takes too long.
64.sp
65Typical usage is:
Uwe Hermann9ff514d2010-06-07 19:41:25 +000066.B "flashrom \-n \-w <file>"
Uwe Hermannea07f622009-06-24 17:31:08 +000067.sp
68This option is only useful in combination with
69.BR \-\-write .
70.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +000071.B "\-v, \-\-verify <file>"
72Verify the flash ROM contents against the given
73.BR <file> .
Stefan Reinauerde063bf2006-09-21 13:09:22 +000074.TP
Stefan Reinauer261144c2006-07-27 23:29:02 +000075.B "\-E, \-\-erase"
Uwe Hermanne74b9f82009-04-10 14:41:29 +000076Erase the flash ROM chip.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000077.TP
Stefan Reinauer261144c2006-07-27 23:29:02 +000078.B "\-V, \-\-verbose"
Uwe Hermann9ff514d2010-06-07 19:41:25 +000079More verbose output. This option can be supplied multiple times
80(max. 2 times, i.e.
81.BR \-VV )
82for even more debug output.
Stefan Reinauerde063bf2006-09-21 13:09:22 +000083.TP
Stefan Reinauer261144c2006-07-27 23:29:02 +000084.B "\-c, \-\-chip" <chipname>
Uwe Hermann9ff514d2010-06-07 19:41:25 +000085Probe only for the specified flash ROM chip. This option takes the chip name as
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +000086printed by
87.B "flashrom \-L"
Uwe Hermann9ff514d2010-06-07 19:41:25 +000088without the vendor name as parameter. Please note that the chip name is
89case sensitive.
Joerg Mayer645c6df2010-03-13 14:47:48 +000090.TP
Uwe Hermann9ff514d2010-06-07 19:41:25 +000091.B "\-m, \-\-mainboard" [<vendor>:]<board>
Joerg Mayer645c6df2010-03-13 14:47:48 +000092Override mainboard settings.
93.sp
94flashrom reads the coreboot table to determine the current mainboard. If no
95coreboot table could be read or if you want to override these values, you can
96specify \-m, e.g.:
97.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +000098.B " flashrom \-\-mainboard AGAMI:ARUMA \-w agami_aruma.rom"
Joerg Mayer645c6df2010-03-13 14:47:48 +000099.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000100See the 'Known boards' or 'Known laptops' section in the output
101of 'flashrom \-L' for a list of boards which require the specification of
102the board name, if no coreboot table is found.
Joerg Mayer645c6df2010-03-13 14:47:48 +0000103.TP
104.B "\-f, \-\-force"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000105Force one or more of the following actions:
Joerg Mayer645c6df2010-03-13 14:47:48 +0000106.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000107* Force chip read and pretend the chip is there.
108.sp
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000109* Force chip access even if the chip is bigger than the maximum supported \
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000110size for the flash bus.
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000111.sp
112* Force erase even if erase is known bad.
113.sp
114* Force write even if write is known bad.
Joerg Mayer645c6df2010-03-13 14:47:48 +0000115.TP
116.B "\-l, \-\-layout <file>"
117Read ROM layout from
118.BR <file> .
Uwe Hermann87c07932009-05-05 16:15:46 +0000119.sp
120flashrom supports ROM layouts. This allows you to flash certain parts of
121the flash chip only. A ROM layout file looks like follows:
122.sp
123 00000000:00008fff gfxrom
124 00009000:0003ffff normal
125 00040000:0007ffff fallback
126.sp
127 i.e.:
128 startaddr:endaddr name
129.sp
130All addresses are offsets within the file, not absolute addresses!
131If you only want to update the normal image in a ROM you can say:
132.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000133.B " flashrom \-\-layout rom.layout \-\-image normal \-w agami_aruma.rom"
Uwe Hermann87c07932009-05-05 16:15:46 +0000134.sp
135To update normal and fallback but leave the VGA BIOS alone, say:
136.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000137.B " flashrom \-l rom.layout \-i normal \"
Uwe Hermann87c07932009-05-05 16:15:46 +0000138.br
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000139.B " \-i fallback \-w agami_aruma.rom"
Uwe Hermann87c07932009-05-05 16:15:46 +0000140.sp
141Currently overlapping sections are not supported.
Stefan Reinauerde063bf2006-09-21 13:09:22 +0000142.TP
Uwe Hermanne74b9f82009-04-10 14:41:29 +0000143.B "\-i, \-\-image <name>"
Uwe Hermann67808fe2007-10-18 00:29:05 +0000144Only flash image
145.B <name>
146from flash layout.
Stefan Reinauerde063bf2006-09-21 13:09:22 +0000147.TP
Uwe Hermanne5ac1642008-03-12 11:54:51 +0000148.B "\-L, \-\-list\-supported"
Uwe Hermann941a2732011-07-25 21:12:57 +0000149List the flash chips, chipsets, mainboards, and external programmers
150(including PCI, USB, parallel port, and serial port based devices)
Uwe Hermanne8ba5382009-05-22 11:37:27 +0000151supported by flashrom.
Uwe Hermanne5ac1642008-03-12 11:54:51 +0000152.sp
Uwe Hermanne8ba5382009-05-22 11:37:27 +0000153There are many unlisted boards which will work out of the box, without
154special support in flashrom. Please let us know if you can verify that
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000155other boards work or do not work out of the box.
156.sp
157.B IMPORTANT:
158For verification you have
Uwe Hermanne8ba5382009-05-22 11:37:27 +0000159to test an ERASE and/or WRITE operation, so make sure you only do that
160if you have proper means to recover from failure!
Uwe Hermanne5ac1642008-03-12 11:54:51 +0000161.TP
Uwe Hermann20a293f2009-06-19 10:42:43 +0000162.B "\-z, \-\-list\-supported-wiki"
163Same as
164.BR \-\-list\-supported ,
165but outputs the supported hardware in MediaWiki syntax, so that it can be
Uwe Hermann941a2732011-07-25 21:12:57 +0000166easily pasted into the wiki page at
167.BR http://www.flashrom.org/ .
168Please note that MediaWiki output is not compiled in by default.
Uwe Hermann20a293f2009-06-19 10:42:43 +0000169.TP
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000170.B "\-p, \-\-programmer <name>[:parameter[,parameter[,parameter]]]"
Carl-Daniel Hailfingerce986772009-05-09 00:27:07 +0000171Specify the programmer device. Currently supported are:
172.sp
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000173.BR "* internal" " (default, for in-system flashing in the mainboard)"
174.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000175.BR "* dummy" " (virtual programmer for testing flashrom)"
Uwe Hermannc7e8a0c2009-05-19 14:14:21 +0000176.sp
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000177.BR "* nic3com" " (for flash ROMs on 3COM network cards)"
178.sp
Uwe Hermann829ed842010-05-24 17:39:14 +0000179.BR "* nicrealtek" " (for flash ROMs on Realtek network cards)"
180.sp
181.BR "* nicsmc1211" " (for flash ROMs on RTL8139-compatible SMC2 network cards)"
182.sp
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000183.BR "* nicnatsemi" " (for flash ROMs on National Semiconductor DP838* network \
184cards)"
185.sp
Uwe Hermann314cfba2011-07-28 19:23:09 +0000186.BR "* nicintel" " (for parallel flash ROMs on Intel 10/100Mbit network cards)
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000187.sp
Uwe Hermann2bc98f62009-09-30 18:29:55 +0000188.BR "* gfxnvidia" " (for flash ROMs on NVIDIA graphics cards)"
189.sp
TURBO Jb0912c02009-09-02 23:00:46 +0000190.BR "* drkaiser" " (for flash ROMs on Dr. Kaiser PC-Waechter PCI cards)"
191.sp
Uwe Hermannc7e8a0c2009-05-19 14:14:21 +0000192.BR "* satasii" " (for flash ROMs on Silicon Image SATA/IDE controllers)"
193.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000194.BR "* satamv" " (for flash ROMs on Marvell SATA controllers)"
195.sp
Uwe Hermannddd5c9e2010-02-21 21:17:00 +0000196.BR "* atahpt" " (for flash ROMs on Highpoint ATA/RAID controllers)"
197.sp
Pete Batardc0207062011-06-11 12:21:37 +0000198.BR "* ft2232_spi" " (for SPI flash ROMs attached to an FT2232/FT4232H family \
Uwe Hermann314cfba2011-07-28 19:23:09 +0000199based USB SPI programmer), including the DLP Design DLP-USB1232H, \
200FTDI FT2232H Mini-Module, FTDI FT4232H Mini-Module, openbiosprog-spi, Amontec \
201JTAGkey/JTAGkey-tiny/JTAGkey-2, Olimex ARM-USB-TINY/-H, and Olimex \
202ARM-USB-OCD/-H."
Paul Fox05dfbe62009-06-16 21:08:06 +0000203.sp
Uwe Hermann314cfba2011-07-28 19:23:09 +0000204.BR "* serprog" " (for flash ROMs attached to a programmer speaking serprog), \
205including AVR flasher by Urja Rannikko, AVR flasher by eightdot, \
206Arduino Mega flasher by fritz, InSystemFlasher by Juhana Helovuo, and \
207atmegaXXu2-flasher by Stefan Tauner."
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000208.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000209.BR "* buspirate_spi" " (for SPI flash ROMs attached to a Bus Pirate)"
Carl-Daniel Hailfingerd5b28fa2009-11-24 18:27:10 +0000210.sp
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000211.BR "* dediprog" " (for SPI flash ROMs attached to a Dediprog SF100)"
212.sp
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000213.BR "* rayer_spi" " (for SPI flash ROMs attached to a RayeR parport \
214based programmer)"
215.sp
Uwe Hermann314cfba2011-07-28 19:23:09 +0000216.BR "* nicintel_spi" " (for SPI flash ROMs on Intel Gigabit network cards)"
Idwer Vollering004f4b72010-09-03 18:21:21 +0000217.sp
Uwe Hermann314cfba2011-07-28 19:23:09 +0000218.BR "* ogp_spi" " (for SPI flash ROMs on Open Graphics Project graphics card)"
Mark Marshall90021f22010-12-03 14:48:11 +0000219.sp
Michael Karchere5eafb22010-03-07 12:11:08 +0000220Some programmers have optional or mandatory parameters which are described
221in detail in the
222.B PROGRAMMER SPECIFIC INFO
223section. Support for some programmers can be disabled at compile time.
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000224.B "flashrom \-h"
Michael Karchere5eafb22010-03-07 12:11:08 +0000225lists all supported programmers.
226.TP
227.B "\-h, \-\-help"
228Show a help text and exit.
229.TP
230.B "\-R, \-\-version"
231Show version information and exit.
232.SH PROGRAMMER SPECIFIC INFO
233Some programmer drivers accept further parameters to set programmer-specific
Uwe Hermann4e3d0b32010-03-25 23:18:41 +0000234parameters. These parameters are separated from the programmer name by a
Michael Karchere5eafb22010-03-07 12:11:08 +0000235colon. While some programmers take arguments at fixed positions, other
236programmers use a key/value interface in which the key and value is separated
237by an equal sign and different pairs are separated by a comma or a colon.
238.TP
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000239.BR "internal " programmer
240Some mainboards require to run mainboard specific code to enable flash erase
241and write support (and probe support on old systems with parallel flash).
242The mainboard brand and model (if it requires specific code) is usually
243autodetected using one of the following mechanisms: If your system is
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000244running coreboot, the mainboard type is determined from the coreboot table.
245Otherwise, the mainboard is detected by examining the onboard PCI devices
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000246and possibly DMI info. If PCI and DMI do not contain information to uniquely
247identify the mainboard (which is the exception), it might be necessary to
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000248specify the mainboard using the
249.B \-m
250switch (see above).
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000251.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000252Some of these board-specific flash enabling functions (called
253.BR "board enables" )
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000254in flashrom have not yet been tested. If your mainboard is detected needing
255an untested board enable function, a warning message is printed and the
256board enable is not executed, because a wrong board enable function might
257cause the system to behave erratically, as board enable functions touch the
258low-level internals of a mainboard. Not executing a board enable function
259(if one is needed) might cause detection or erasing failure. If your board
260protects only part of the flash (commonly the top end, called boot block),
261flashrom might encounter an error only after erasing the unprotected part,
262so running without the board-enable function might be dangerous for erase
263and write (which includes erase).
264.sp
265The suggested procedure for a mainboard with untested board specific code is
266to first try to probe the ROM (just invoke flashrom and check that it
267detects your flash chip type) without running the board enable code (i.e.
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000268without any parameters). If it finds your chip, fine. Otherwise, retry
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000269probing your chip with the board-enable code running, using
270.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000271.B " flashrom \-p internal:boardenable=force"
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000272.sp
273If your chip is still not detected, the board enable code seems to be broken
274or the flash chip unsupported. Otherwise, make a backup of your current ROM
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000275contents (using
276.BR \-r )
277and store it to a medium outside of your computer, like
278a USB drive or a network share. If you needed to run the board enable code
Michael Karcher7f0c3ec2010-03-07 22:29:28 +0000279already for probing, use it for reading too. Now you can try to write the
280new image. You should enable the board enable code in any case now, as it
281has been written because it is known that writing/erasing without the board
282enable is going to fail. In any case (success or failure), please report to
283the flashrom mailing list, see below.
284.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000285On systems running coreboot, flashrom checks whether the desired image matches
286your mainboard. This needs some special board ID to be present in the image.
287If flashrom detects that the image you want to write and the current board
288do not match, it will refuse to write the image unless you specify
289.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000290.B " flashrom \-p internal:boardmismatch=force"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000291.sp
Carl-Daniel Hailfinger01f3ef42010-03-25 02:50:40 +0000292If your mainboard uses an ITE IT87 series Super I/O for LPC<->SPI flash bus
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000293translation, flashrom should autodetect that configuration. If you want to
294set the I/O base port of the IT87 series SPI controller manually instead of
295using the value provided by the BIOS, use the
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +0000296.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000297.B " flashrom \-p internal:it87spiport=portnum"
298.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000299syntax where
300.B portnum
301is the I/O port number (must be a multiple of 8). In the unlikely case
302flashrom doesn't detect an active IT87 LPC<->SPI bridge, please send a bug
303report so we can diagnose the problem.
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000304.sp
Carl-Daniel Hailfinger46fa0682011-07-25 22:44:09 +0000305If you have an Intel chipset with an ICH6 or later southbridge and if you want
306to set specific IDSEL values for a non-default flash chip or an embedded
307controller (EC), you can use the
308.sp
309.B " flashrom \-p internal:fwh_idsel=value"
310.sp
311syntax where value is the 48-bit hexadecimal raw value to be written in the
312IDSEL registers of the Intel southbridge. The upper 32 bits use one hex digit
313each per 512 kB range between 0xffc00000 and 0xffffffff, and the lower 16 bits
314use one hex digit each per 1024 kB range between 0xff400000 and 0xff7fffff.
315The rightmost hex digit corresponds with the lowest address range. All address
316ranges have a corresponding sister range 4 MB below with identical IDSEL
317settings. The default value for ICH7 is given in the example below.
318.sp
319Example:
320.B "flashrom \-p internal:fwh_idsel=0x001122334567"
321.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000322Using flashrom on laptops is dangerous and may easily make your hardware
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000323unusable (see also the
324.B BUGS
325section). The embedded controller (EC) in these
326machines often interacts badly with flashing.
327.B http://www.flashrom.org/Laptops
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000328has more information. If flash is shared with the EC, erase is guaranteed to
329brick your laptop and write is very likely to brick your laptop.
330Chip read and probe may irritate your EC and cause fan failure, backlight
331failure, sudden poweroff, and other nasty effects.
332flashrom will attempt to detect laptops and abort immediately for safety
333reasons.
334If you want to proceed anyway at your own risk, use
335.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000336.B " flashrom \-p internal:laptop=force_I_want_a_brick"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000337.sp
338You have been warned.
339.sp
340We will not help you if you force flashing on a laptop because this is a really
341dumb idea.
Carl-Daniel Hailfinger01f3ef42010-03-25 02:50:40 +0000342.TP
Michael Karchere5eafb22010-03-07 12:11:08 +0000343.BR "dummy " programmer
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000344The dummy programmer operates on a buffer in memory only. It provides a safe
345and fast way to test various aspects of flashrom and is mainly used in
346development and while debugging.
347.sp
348It is able to emulate some chips to a certain degree (basic
349identify/read/erase/write operations work).
350.sp
Michael Karchere5eafb22010-03-07 12:11:08 +0000351An optional parameter specifies the bus types it
Carl-Daniel Hailfinger3504b532009-06-01 00:02:11 +0000352should support. For that you have to use the
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000353.sp
354.B " flashrom \-p dummy:bus=[type[+type[+type]]]"
355.sp
Carl-Daniel Hailfinger3504b532009-06-01 00:02:11 +0000356syntax where
357.B type
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000358can be
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000359.BR parallel ", " lpc ", " fwh ", " spi
360in any order. If you specify bus without type, all buses will be disabled.
361If you do not specify bus, all buses will be enabled.
Carl-Daniel Hailfinger3504b532009-06-01 00:02:11 +0000362.sp
363Example:
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000364.B "flashrom \-p dummy:bus=lpc+fwh"
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000365.sp
366The dummy programmer supports flash chip emulation for automated self-tests
367without hardware access. If you want to emulate a flash chip, use the
368.sp
369.B " flashrom \-p dummy:emulate=chip"
370.sp
371syntax where
372.B chip
373is one of the following chips (please specify only the chip name, not the
374vendor):
375.sp
376.RB "* ST " M25P10.RES " SPI flash chip (RES, page write)"
377.sp
378.RB "* SST " SST25VF040.REMS " SPI flash chip (REMS, byte write)"
379.sp
380.RB "* SST " SST25VF032B " SPI flash chip (RDID, AAI write)"
381.sp
382Example:
383.B "flashrom -p dummy:emulate=SST25VF040.REMS"
384.sp
385If you use flash chip emulation, flash image persistence is available as well
386by using the
387.sp
388.B " flashrom \-p dummy:emulate=chip,image=image.rom"
389.sp
390syntax where
391.B image.rom
392is the file where the simulated chip contents are read on flashrom startup and
393where the chip contents on flashrom shutdown are written to.
394.sp
395Example:
396.B "flashrom -p dummy:emulate=M25P10.RES,image=dummy.bin"
397.sp
398If you use SPI flash chip emulation for a chip which supports SPI page write
399with the default opcode, you can set the maximum allowed write chunk size with
400the
401.sp
402.B " flashrom \-p dummy:emulate=chip,spi_write_256_chunksize=size"
403.sp
404syntax where
405.B size
406is the number of bytes (min. 1, max. 256).
407.sp
408Example:
409.sp
410.B " flashrom -p dummy:emulate=M25P10.RES,spi_write_256_chunksize=5"
Michael Karchere5eafb22010-03-07 12:11:08 +0000411.TP
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000412.BR "nic3com" , " nicrealtek" , " nicsmc1211" , " nicnatsemi" , " nicintel\
413" , " nicintel_spi" , " gfxnvidia" , " ogp_spi" , " drkaiser" , " satasii\
414" , " satamv" ", and " atahpt " programmers
Michael Karchere5eafb22010-03-07 12:11:08 +0000415These programmers have an option to specify the PCI address of the card
416your want to use, which must be specified if more than one card supported
417by the selected programmer is installed in your system. The syntax is
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000418.sp
419.BR " flashrom \-p xxxx:pci=bb:dd.f" ,
420.sp
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000421where
Uwe Hermannc7e8a0c2009-05-19 14:14:21 +0000422.B xxxx
423is the name of the programmer
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000424.B bb
425is the PCI bus number,
426.B dd
427is the PCI device number, and
428.B f
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000429is the PCI function number of the desired device.
Uwe Hermann530cb2d2009-05-14 22:58:21 +0000430.sp
431Example:
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000432.B "flashrom \-p nic3com:pci=05:04.0"
Michael Karchere5eafb22010-03-07 12:11:08 +0000433.TP
Carl-Daniel Hailfinger71127722010-05-31 15:27:27 +0000434.BR "ft2232_spi " programmer
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000435An optional parameter specifies the controller
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000436type and interface/port it should support. For that you have to use the
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000437.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000438.B " flashrom \-p ft2232_spi:type=model,port=interface"
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000439.sp
Carl-Daniel Hailfingerfeea2722009-07-01 00:02:23 +0000440syntax where
441.B model
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000442can be
Pete Batardc0207062011-06-11 12:21:37 +0000443.BR 2232H ", " 4232H ", " jtagkey ", " openmoko ", " arm-usb-tiny ", " \
444arm-usb-tiny-h ", " arm-usb-ocd " or " arm-usb-ocd-h
Carl-Daniel Hailfingerfeea2722009-07-01 00:02:23 +0000445and
446.B interface
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000447can be
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000448.BR A ", or " B .
Carl-Daniel Hailfingerfeea2722009-07-01 00:02:23 +0000449The default model is
450.B 4232H
451and the default interface is
452.BR B .
Michael Karchere5eafb22010-03-07 12:11:08 +0000453.TP
454.BR "serprog " programmer
455A mandatory parameter specifies either a serial
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000456device/baud combination or an IP/port combination for communication with the
Michael Karchere5eafb22010-03-07 12:11:08 +0000457programmer. In the device/baud combination, the device has to start with a
458slash. For serial, you have to use the
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000459.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000460.B " flashrom \-p serprog:dev=/dev/device:baud"
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000461.sp
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000462syntax and for IP, you have to use
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000463.sp
Carl-Daniel Hailfinger744132a2010-07-06 09:55:48 +0000464.B " flashrom \-p serprog:ip=ipaddr:port"
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000465.sp
466instead. More information about serprog is available in
467.B serprog-protocol.txt
468in the source distribution.
Michael Karchere5eafb22010-03-07 12:11:08 +0000469.TP
Carl-Daniel Hailfinger71127722010-05-31 15:27:27 +0000470.BR "buspirate_spi " programmer
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000471A required
472.B dev
473parameter specifies the Bus Pirate device node and an optional
474.B spispeed
475parameter specifies the frequency of the SPI bus. The parameter
Michael Karchere5eafb22010-03-07 12:11:08 +0000476delimiter is a comma. Syntax is
Carl-Daniel Hailfingerdfade102009-08-18 23:51:22 +0000477.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000478.B " flashrom \-p buspirate_spi:dev=/dev/device,spispeed=frequency"
Michael Karchere5eafb22010-03-07 12:11:08 +0000479.sp
Carl-Daniel Hailfingerd5b28fa2009-11-24 18:27:10 +0000480where
481.B frequency
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000482can be
483.BR 30k ", " 125k ", " 250k ", " 1M ", " 2M ", " 2.6M ", " 4M " or " 8M
Michael Karchere5eafb22010-03-07 12:11:08 +0000484(in Hz). The default is the maximum frequency of 8 MHz.
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000485.TP
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000486.BR "dediprog " programmer
Carl-Daniel Hailfingerc2441382010-11-09 22:00:31 +0000487An optional
488.B voltage
489parameter specifies the voltage the Dediprog should use. The default unit is
490Volt if no unit is specified. You can use
491.BR mV ", " milliVolt ", " V " or " Volt
492as unit specifier. Syntax is
493.sp
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000494.B " flashrom \-p dediprog:voltage=value"
Carl-Daniel Hailfingerc2441382010-11-09 22:00:31 +0000495.sp
496where
497.B value
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000498can be
Carl-Daniel Hailfingerc2441382010-11-09 22:00:31 +0000499.BR 0V ", " 1.8V ", " 2.5V ", " 3.5V
500or the equivalent in mV.
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000501.TP
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000502.BR "rayer_spi " programmer
Carl-Daniel Hailfinger37c42522010-10-05 19:19:48 +0000503The default I/O base address used for the parallel port is 0x378 and you can use
504the optional
505.B iobase
506parameter to specify an alternate base I/O address with the
507.sp
508.B " flashrom \-p rayer_spi:iobase=baseaddr"
509.sp
510syntax where
511.B baseaddr
512is base I/O port address of the parallel port, which must be a multiple of
513four. Make sure to not forget the "0x" prefix for hexadecimal port addresses.
514.sp
515More information about the hardware is available at
Uwe Hermann941a2732011-07-25 21:12:57 +0000516.BR http://rayer.ic.cz/elektro/spipgm.htm .
Mark Marshall90021f22010-12-03 14:48:11 +0000517.TP
518.BR "ogp_spi " programmer
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000519The flash ROM chip to access must be specified with the
Mark Marshall90021f22010-12-03 14:48:11 +0000520.B rom
521parameter.
522.sp
523.B " flashrom \-p ogp_spi:rom=name"
524.sp
525Where
526.B name
527is either
528.B cprom
529or
530.B s3
531for the configuration ROM and
532.B bprom
533or
534.B bios
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000535for the BIOS ROM. If more than one card supported by the ogp_spi programmer
Mark Marshall90021f22010-12-03 14:48:11 +0000536is installed in your system, you have to specify the PCI address of the card
537you want to use with the
538.B pci=
539parameter as explained in the
540.B nic3com
541section above.
542.sp
543More information about the hardware is available at
Uwe Hermann941a2732011-07-25 21:12:57 +0000544.BR http://wiki.opengraphics.org .
Peter Stuge42688e52009-01-26 02:20:56 +0000545.SH EXIT STATUS
546flashrom exits with 0 on success, 1 on most failures but with 2 if /dev/mem
547(/dev/xsvc on Solaris) can not be opened and with 3 if a call to mmap() fails.
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000548.SH REQUIREMENTS
549flashrom needs different access permissions for different programmers.
550.sp
551.B internal
552needs raw memory access, PCI configuration space access, raw I/O port
553access (x86) and MSR access (x86).
554.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000555.BR nic3com ", " nicrealtek ", " nicsmc1211 " and " nicnatsemi "
556need PCI configuration space read access and raw I/O port access.
557.sp
558.B atahpt
559needs PCI configuration space access and raw I/O port access.
560.sp
561.BR gfxnvidia " and " drkaiser
562need PCI configuration space access and raw memory access.
563.sp
Carl-Daniel Hailfingere7fdd6e2010-07-21 10:26:01 +0000564.B rayer_spi
565needs raw I/O port access.
566.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000567.B satasii
568needs PCI configuration space read access and raw memory access.
569.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000570.B satamv
571needs PCI configuration space read access, raw I/O port access and raw memory
572access.
573.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000574.B serprog
575needs TCP access to the network or userspace access to a serial port.
576.sp
577.B buspirate_spi
578needs userspace access to a serial port.
579.sp
580.BR dediprog " and " ft2232_spi
581need access to the USB device via libusb.
582.sp
583.B dummy
584needs no access permissions at all.
585.sp
Carl-Daniel Hailfinger9321f062011-07-24 18:41:13 +0000586.BR internal ", " nic3com ", " nicrealtek ", " nicsmc1211 ", " nicnatsemi ", "
587.BR gfxnvidia ", " drkaiser ", " satasii ", " satamv " and " atahpt
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000588have to be run as superuser/root, and need additional raw access permission.
589.sp
590.BR serprog ", " buspirate_spi ", " dediprog " and " ft2232_spi
591can be run as normal user on most operating systems if appropriate device
592permissions are set.
593.sp
Mark Marshall90021f22010-12-03 14:48:11 +0000594.B ogp
595needs PCI configuration space read access and raw memory access.
596.sp
Carl-Daniel Hailfingerb63b0672010-07-02 17:12:50 +0000597On OpenBSD, you can obtain raw access permission by setting
Uwe Hermann941a2732011-07-25 21:12:57 +0000598.B "securelevel=-1"
599in
600.B "/etc/rc.securelevel"
601and rebooting, or rebooting into single user mode.
Stefan Reinauer261144c2006-07-27 23:29:02 +0000602.SH BUGS
Uwe Hermann42eb17f2008-01-18 17:48:51 +0000603Please report any bugs at
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000604.sp
605.B " http://www.flashrom.org/trac/flashrom/newticket"
606.sp
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000607or on the flashrom mailing list at
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000608.B "<flashrom@flashrom.org>"
609.sp
610We recommend to subscribe first at
Uwe Hermann9ff514d2010-06-07 19:41:25 +0000611.sp
612.B " http://www.flashrom.org/mailman/listinfo/flashrom"
Carl-Daniel Hailfinger27023762010-04-28 15:22:14 +0000613.sp
614Using flashrom on laptops is dangerous and may easily make your hardware
615unusable unless you can desolder the flash chip and have a full flash chip
616backup. This is caused by the embedded controller (EC) present in many laptops,
617which interacts badly with any flash attempts. This is a hardware limitation
618and flashrom will attempt to detect it and abort immediately for safety reasons.
Uwe Hermann941a2732011-07-25 21:12:57 +0000619.sp
620More information about flashrom on laptops is available from
621.sp
622.B " http://www.flashrom.org/Laptops"
Stefan Taunerac54fbe2011-07-21 19:52:00 +0000623.SH LICENSE
Stefan Reinauer261144c2006-07-27 23:29:02 +0000624.B flashrom
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000625is covered by the GNU General Public License (GPL), version 2. Some files are
626additionally available under the GPL (version 2, or any later version).
Stefan Reinauer261144c2006-07-27 23:29:02 +0000627.SH COPYRIGHT
Stefan Reinauer261144c2006-07-27 23:29:02 +0000628.br
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000629Please see the individual files.
Stefan Reinauer261144c2006-07-27 23:29:02 +0000630.SH AUTHORS
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000631Andrew Morgan
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000632.br
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000633Carl-Daniel Hailfinger
634.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000635Claus Gindhart
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000636.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000637David Borg
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000638.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000639David Hendricks
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000640.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000641Dominik Geyer
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000642.br
Stefan Reinaueredc61882010-01-03 14:40:30 +0000643Eric Biederman
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000644.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000645Giampiero Giancipoli
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000646.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000647Helge Wagner
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000648.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000649Idwer Vollering
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000650.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000651Joe Bao
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000652.br
Stefan Taunerc0aaf952011-05-19 02:58:17 +0000653Joerg Fischer
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000654.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000655Joshua Roys
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000656.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000657Luc Verhaegen
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000658.br
Carl-Daniel Hailfinger451dc802009-05-01 11:00:39 +0000659Li-Ta Lo
660.br
Mark Marshall90021f22010-12-03 14:48:11 +0000661Mark Marshall
662.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000663Markus Boas
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000664.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000665Mattias Mattsson
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000666.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000667Michael Karcher
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +0000668.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000669Nikolay Petukhov
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000670.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000671Patrick Georgi
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000672.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000673Peter Lemenkov
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000674.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000675Peter Stuge
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000676.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000677Reinder E.N. de Haan
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000678.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000679Ronald G. Minnich
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000680.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000681Ronald Hoogenboom
Stefan Reinauer261144c2006-07-27 23:29:02 +0000682.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000683Sean Nelson
Carl-Daniel Hailfinger8841d3e2010-05-15 15:04:37 +0000684.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000685Stefan Reinauer
Stefan Reinauer261144c2006-07-27 23:29:02 +0000686.br
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000687Stefan Tauner
688.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000689Stefan Wildemann
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000690.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000691Stephan Guilloux
Carl-Daniel Hailfinger3e854422010-10-06 23:03:21 +0000692.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000693Steven James
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000694.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000695Uwe Hermann
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000696.br
Stefan Reinaueredc61882010-01-03 14:40:30 +0000697Wang Qingpei
Carl-Daniel Hailfinger851ecf22009-01-08 04:56:59 +0000698.br
Stefan Reinaueredc61882010-01-03 14:40:30 +0000699Yinghai Lu
Stefan Reinauerf8337dd2006-08-03 10:49:09 +0000700.br
Carl-Daniel Hailfingeref697832010-10-07 22:21:45 +0000701some others, please see the flashrom svn changelog for details.
702.br
Uwe Hermann68b9cca2011-06-15 23:44:52 +0000703All authors can be reached via email at <flashrom@flashrom.org>.
Stefan Reinauer261144c2006-07-27 23:29:02 +0000704.PP
Stefan Taunerac54fbe2011-07-21 19:52:00 +0000705This manual page was written by Uwe Hermann <uwe@hermann-uwe.de>,
706Carl-Daniel Hailfinger and others.
Uwe Hermann42eb17f2008-01-18 17:48:51 +0000707It is licensed under the terms of the GNU GPL (version 2 or later).